CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Huffman

搜索资源列表

  1. huffman

    0下载:
  2. 基于单片机的数据压缩算法的实现-Huffman算法
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1675
    • 提供者:caichangxin
  1. huffman

    0下载:
  2. 用于FPGA的huffman算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10891
    • 提供者:caesar
  1. huffumancoding.rar

    0下载:
  2. 哈夫曼编码,有关编码与解码的哈夫曼实验程序,在xilinx机子上跑过,能用,Huffman coding, the encoding and decoding of Huffman experimental procedure, the machine ran Xilinx, can be
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-08
    • 文件大小:349331
    • 提供者:杨梅
  1. Huffman

    0下载:
  2. 用于视频运动图像编码的HUFFMAN编码,可广泛运用于MPEG-Moving Picture for video coding Huffman coding, can be widely applied to MPEG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1569235
    • 提供者:zs
  1. mp3_decoder

    0下载:
  2. MP3解码的VHDL实现,包括霍夫曼解码等-VHDL realization of MP3 decoding, including Huffman decoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34154
    • 提供者:asa
  1. xapp616

    0下载:
  2. huffman code vhdl program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-27
    • 文件大小:13141
    • 提供者:andy singh
  1. huffman

    0下载:
  2. MP3播放器中的基于霍夫曼(huffman)解码的vhdl语言描述-MP3 player based on the Hoffmann (huffman) decoding descr iption language vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3854
    • 提供者:刘磊
  1. h

    0下载:
  2. huffman编码的vhdl语言实现 课程设计做的 有点用的-huffman coding vhdl language curriculum design to achieve a little bit to do with the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292500
    • 提供者:africanz
  1. VHDL_huffman_decoder

    0下载:
  2. This is a Huffman decoder with dynamic Huffcode tables. A Testbench for a jpg file is include.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:198808
    • 提供者:PCB
  1. cf_cordic_latest.tar

    0下载:
  2. 一个基于哈弗曼编码的解码器,用于jpeg格式的图片的解码,以及音频流解码。-Huffman code is used in the most streaming applications. I have written a Huffman decoder for jpeg pictures. For audio or other data streams the code have to adapt.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:460980
    • 提供者:赵恒
  1. code_huffman

    0下载:
  2. this code implements huffman coding on Xilinx FPGA.the code is designed for Xilinx SDK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1890
    • 提供者:tronix
  1. huffman

    0下载:
  2. 哈弗曼编码的设计源代码以及测试源代码以及仿真结果图-Havermann source code design and testing source code and Simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:102260
    • 提供者:cong
  1. Huffman

    0下载:
  2. 用VHDL编写的huffman编码的源程序-With the VHDL source code written in huffman coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9853
    • 提供者:孙敬峰
  1. huffmandecoder_latest.tar

    0下载:
  2. huffman decoder and encoder blocks fully generated in vhdl code.hope it helps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:231881
    • 提供者:sh
  1. avr-huffman

    0下载:
  2. AVR-gcc based Huffman-decompression, which uses just 572 bytes of flash. portable c compression-algorithm in "test" directory. Including some helpful utilities like uart, commando interface and dump utility. GPLv3
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:136799
    • 提供者:EasyLite81
  1. vhdl-implementation-of-huffman-algorithm

    0下载:
  2. VHDL implementation of HUFFMAN algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5534
    • 提供者:anu
  1. huffman

    0下载:
  2. huffman decoder test bench with some file as input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:947966
    • 提供者:sudheer
  1. huffman

    0下载:
  2. linux c语言完成的哈弗曼编码实现方法,仅供初学-Huffman coding
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-20
    • 文件大小:8468
    • 提供者:许金龙
  1. huffman

    1下载:
  2. 基于fpga的霍夫曼编码Huffman Encoder-Fpga-based Huffman coding Huffman Encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:19401
    • 提供者:nick
  1. Huffman-Encoder

    1下载:
  2. 本压缩包,包换一个用verilog语言实现的huffman编码源程序,同时给出了众多论文和基础知识的文档资料,一应俱全。-The compression package, shifting one using huffman coding verilog language source code, and gives basic knowledge of many papers and documentation, everything.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11847457
    • 提供者:普尔
« 12 3 »
搜珍网 www.dssz.com