CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - M

搜索资源列表

  1. m-ix86pm.zip

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:30837
    • 提供者:
  1. SUN单片机课程设计报告

    0下载:
  2. 1、 利用8031作为主控制器组成一个电子跑表,采用4位LED显示器。 2、 上电或RESET后能启动显示当前(时:分):首次上电复位显示为0时0分,以后各次RESET均显示正确的当前时间。 3、 当按下START键时,作为跑表使用,显示范围是:000.0-999.9秒,当按下STOP键时,跑表停止运行,并保留所停位置的时间显示不变。 4、 利用H键作为时钟校正,即当按下H键时,显示的当前小时值以每秒加一的速度递增(24点即为0点),利用N键作为分校正,使用方法同H键,但60分钟即为
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:27705
    • 提供者:白光磊
  1. 伪随机序列的说明和源代码

    0下载:
  2. 可控m序列产生器我分成四个小模块来做,M,M1,M2,M3分别对应为:m序列产生器、控制器、码长选择器、码速率选择器。-controllable m-sequence generator, I divided into four small modules do, M, M1, M2, M3, respectively : m-sequence generator, controller, code-selector, code rate selector.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:32624
    • 提供者:王力
  1. 用C51实现单片机的中文输入法

    0下载:
  2. 拼音输入法杳询函数: unsigned char code * py_ime(unsigned char input_py_val[]) input_py_val为已输入的拼音码字符串头指针, 反回值为中文的起始地址,当为0时,杳询失败 -Pinyin input method disappear with the function : * unsigned char code py_ime (unsigned char input_py_val []) input_py_va
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:15483
    • 提供者:黄永
  1. M-sequence

    0下载:
  2. 编码器生成M序列进行通信,接收后再进行解码。用于扩频率通信中。通过状态机实现。-The encoder generates the M sequence for communication, the receiver and then decoded. For the expansion of the frequency communications. Through the state machine implementation.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:14496
    • 提供者:liyu
  1. m--Matlab-

    0下载:
  2. m伪随机序列Matlab源代码,很不错,值得-m pseudo-random sequence Matlab source code, very good, we can look at
  3. 所属分类:VxWorks

    • 发布日期:2017-04-01
    • 文件大小:4165
    • 提供者:David
  1. 200-m-wireless-experiment

    0下载:
  2. 200米无线遥控实验,适合在校大学生学习使用,这个用在DIY遥控飞机很炫-200 m wireless remote control experiment
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:26213
    • 提供者:都护府
  1. M-bus2-4

    0下载:
  2. 基于msp430的智能水表远程抄表水表头程序,主要有检测水表读数和m-bus通讯两个模块-Msp430-based smart meter remote reading meter head program, mainly to detect meter readings and m-bus communication between two modules
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-24
    • 文件大小:129587
    • 提供者:james
  1. M-series-digital-signal

    0下载:
  2. 第一路用于产生一个10Mbps的M序列,第二路产生10Kbps到100Kbps的M序列,数据率可以按10Kbps步进。-The first way to generate a sequence of M 10Mbps, the second way to produce 10Kbps to 100Kbps M-sequence data rate can 10Kbps steps.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12489498
    • 提供者:蒋欧
  1. m

    0下载:
  2. 这是vhdl编写的产生7位m序列的程序,类比可以产生更多为的。而m序列即可作为输入测试信号,也可以模拟噪声。-It is written vhdl 7 m sequence generation process, can produce more for the analogy. The m-sequence can be used as an input test signal, it can simulate noise.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:749
    • 提供者:殷超
  1. 315-m-wireless-module-scans

    0下载:
  2. 315M无线模块扫描 硬件平台为神舟开发板-315 m wireless module scans
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-09
    • 文件大小:1971200
    • 提供者:史鹏博
  1. m

    0下载:
  2. 本设计实现了一个12级m序列发生器,包含源文件及其测试文件。-This design has realized a level 12 m sequence generator, and the test file contains the source file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:602
    • 提供者:米彦逢
  1. m-sequence_gen

    1下载:
  2. m序列生成verilog代码,经过仿真测试,绝对可用,带仿真说明-M sequence generated Verilog code, after the simulation test, absolutely available, with the simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:232223
    • 提供者:zyy
  1. m-Sequence

    0下载:
  2. FPGA,verilog,输出M序列,已调试成功,可直接在Quartus上打开。-FPGA, verilog, output M sequence, has been successfully debugged, can be opened directly on the Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5118462
    • 提供者:秦枫
  1. m_sequence

    1下载:
  2. 基于fpga verilog语言生成的m序列。(Generating m sequences based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:3045763
    • 提供者:Wujinlin
  1. m-test

    0下载:
  2. 产生小m序列,用于扩频系统中,仿真测试正确,反馈级数为4(Generating m sequences)
  3. 所属分类:VHDL/FPGA/Verilog

  1. E_2011

    0下载:
  2. 生成了一个M序列,适用于2011年全国电子设计竞赛的F题(A M sequence is generated that applies to the F question of the 2011 National Electronic Design Competition)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:705536
    • 提供者:安珍妮
  1. spec.tar

    0下载:
  2. M.2 testing specification
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:454656
    • 提供者:isaac172106
  1. 15阶m序列VHDL

    0下载:
  2. 高阶m序列,VHDL语言在ISE平台完成,生成多项式f(x)=x15+x+1
  3. 所属分类:硬件设计

  1. 转速测量

    1下载:
  2. 基于stc12c5a08s2单片机使用M/T法测量频率(Based on STC12C5A08S2 microcontroller, M/T method was used to measure the rotating speed)
  3. 所属分类:单片机开发

    • 发布日期:2021-03-24
    • 文件大小:303104
    • 提供者:DXJ72809639
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com