CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - PN code

搜索资源列表

  1. pn.m

    1下载:
  2. matlab中的pn码仿真 PN码的仿真实验 pn码在通信系统中占据了重要的位置,在即将到来的3G通信中比较重要-the pn Matlab simulation code PN code simulation pn code in communications systems occupy an important location in the upcoming three G communications more important
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:72051
    • 提供者:陈小飞
  1. pn_generator.rar

    0下载:
  2. FPGA实现pn发生器,Verilog代码实现,另带modlesim的仿真测试文件,很有价值。,FPGA realization of pn generator, Verilog code, and the other with the simulation test modlesim documents of great value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2869
    • 提供者:胡佳
  1. PN7

    0下载:
  2. vhdl语言实现 pn码发生器 dpsk调制 以及扩频器-pn code generator vhdl language modulation and spread spectrum devices dpsk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1073
    • 提供者:kid
  1. pn

    0下载:
  2. TMS320C5410环境下pn码生成源程序-TMS320C5410 environment to generate source code pn
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-08
    • 文件大小:2762
    • 提供者:QQ
  1. Simulation-and-FPGA-Implementation-of-DigitalDBPSK

    0下载:
  2. 文章介绍了系统的硬件电路原理与具体实现方法,其中主要包括载波恢 复电路,PN 码捕获电路和跟踪电路,并针对Xilinx 公司FPGA 的特点,对各电 路的实现进行优化设计,在不影响系统稳定性和精度的前提下,减少硬件资源 消耗,提高硬件利用率。设计利用Verilog 硬件描述语言完成,通过后仿真验证 电路正确性,并给出综合结果。-This paper introduces the system' s hardware circuit principle and the spe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1008048
    • 提供者:mayuan
  1. PN_code_capture_and_tracing

    0下载:
  2. 一个完整的pn码捕获与跟踪的VHDL源码,并行匹配滤波器捕获,锁相环跟踪.-A complete pn Code Acquisition and Tracking of the VHDL source code, parallel matched filter to capture, phase-locked loop tracking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2099
    • 提供者:王永俊
  1. CDMA_PN_Walsh

    0下载:
  2. 中兴公司CDMA网络PN Walsh码应用及调制解调培训材料-ZTC CDMA NETWORK PN WALSH CODE training ppt
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:44412
    • 提供者:wangminyi
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. 51key

    0下载:
  2. 1.此程序非常简洁它不是进行键扫描,而是直接读Pn口,修改很方便, 不受键位置的影响,比如:要改变键号位置只需改变keytable表顺序既可, 且很容易改成双键或多键同时按下键值. 2.还有一种放法是先读行值,再读列值然后行值*4+列值,此种放法也简洁但 可修改性不大好,而且要用乘法运算,代码相对大. 3.可很容易变通应用在多键键盘,比如8*8键盘或更多,代码多不了多少, 只是增大keytable表就可以-1. This program is very sim
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1307
    • 提供者:sunxm
  1. msk_mod

    0下载:
  2. msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1276
    • 提供者:刘进
  1. vhdl

    0下载:
  2. 串并转换和PN码产生的VHDL程序 希望对刚学习VHDL语言的同学有帮助!-And the PN code string and convert VHDL program generated just want students to learn VHDL, help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:989
    • 提供者:ls112853
  1. keilC51v7hanhua

    0下载:
  2. verilog语言编写的PN码程序 经过调试的-PN code written in verilog program after debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:722300
    • 提供者:tom
  1. verlag111

    0下载:
  2. verilog语言编写的PN码程序 经过调试的-PN code written in verilog program after debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:614221
    • 提供者:tom
  1. Copy-of-New-Microsoft-Word-Document

    0下载:
  2. pn-random code generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19530
    • 提供者:lucifer
  1. Copy-(2)-of-New-Microsoft-Word-Document

    0下载:
  2. pn random code gerator fast
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:19534
    • 提供者:lucifer
  1. pncode

    0下载:
  2. verilog hdl编写的伪随机序列产生程序;包含测试文件;-Verilog HDL;PN code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:943
    • 提供者:yy
  1. pn_combine

    0下载:
  2. pn码生成以及误码率检测程序,开发环境为Altera-pn code generation and BER testing procedures, development environment for Altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15414116
    • 提供者:Shawn Chen
  1. DDSPDQPSKmatlab-SIMULATOR

    0下载:
  2. 对原始信息先进行扩频然后进行DQPSK调制的MATLAB程序,参考了一些扩频仿真程序后自己完善的,目前网站上没有一个完成的扩频通信的仿真程序(扩频与调制完全结合在一起的)-PN CODE,DDS,DQPSK
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:3945
    • 提供者:chen
  1. PN15

    0下载:
  2. 伪随机序列的产生,又称为PN码。本文件产生的为PN15,通式为X15+X14+1。-Generation of pseudo random sequences, also known as the PN code. This file is generated for the PN15, the general expression of X15+X14+1.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1018
    • 提供者:kirin-Jen
  1. PNcode

    0下载:
  2. An example for PN code auto-correlation with different length.
  3. 所属分类:DSP program

    • 发布日期:2017-04-12
    • 文件大小:1294
    • 提供者:kent
搜珍网 www.dssz.com