CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - PPM

搜索资源列表

  1. PPM

    0下载:
  2. ppm脉位调制数字基带系统的设计,包括完整的QuartusII工程和Modelsim仿真文件
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:255567
    • 提供者:wanyou
  1. ppm.rar

    0下载:
  2. ppm编码,本程序为编码的硬件语言的实现,包含测试文件,同时编译便可出结果,ppm coding procedures for the encoding of the language of the hardware implementation, including test papers, the results will be compiled at the same time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1841
    • 提供者:lu xin
  1. PPM-rcPRO

    0下载:
  2. 一个用ATMEGA8L写的模型遥控器信号行程解读程序(12864显示)-ATMEGA8L written by a model with a remote control signal itinerary read Procedure (12864 display)
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:5945
    • 提供者:陈文科
  1. fpga

    0下载:
  2. 无线光通信技术具有通信容量大、传输速率高等众多优点, 在许多场合都有重要的应用, 是现代通信技术研究的一个热点。由于脉冲位置调制 ( PPM ) 有较高的平均功率利用率和抗干扰能力, 故 PPM是无线光通信系统中常用的调制方式。在研究 PPM调制技术的基础上, 就基于 FPG A的无线光通信 PPM调制系统进行设计, 并用 V H D L语言完成了系统的设计和仿真。仿真结果表明, 该设计具有正确性和合理性。-Wireless optical communication technology ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:194255
    • 提供者:朱雯
  1. pmw2ppm

    0下载:
  2. Vhdl code PPM to pwm converte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3702
    • 提供者:SANTOSH
  1. kernel_logotool_dm644x

    0下载:
  2. this used to change kernel logo for dm644x, from *.png to *.ppm.-this is used to change kernel logo for dm644x, from*.png to*.ppm.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-01
    • 文件大小:46472
    • 提供者:mingkuang tsai
  1. moto

    0下载:
  2. 可变脉宽输出试验(舵机控制) 航模中 ppm信号调整舵机的方向 此程序就是 通过 ppm型号控制舵机 。非常有用-Variable pulse-width output test (Servo Control) model aircraft in the ppm signal to adjust the direction of steering this process is through the control of steering ppm models. Useful
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:39690
    • 提供者:maguijun
  1. mp

    0下载:
  2. ppm modultion by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:228168
    • 提供者:bahram
  1. report

    0下载:
  2. ppm modulation by vhdlcod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:700995
    • 提供者:bahram
  1. test

    0下载:
  2. PPM编码的VHDL实现,可实现8位并行输入数据转换为串行的PPM编码-PPM coded VHDL implementation can be realized 8-bit parallel input data into a serial coded PPM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:353745
    • 提供者:李雨
  1. ppm encoder

    1下载:
  2. 无线遥控中PPM编码器,用于把多个通道的PPM信号整合成一个脉冲信号,单片机为ATMEGA168,编译器为G-PPM ENCODER
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-29
    • 文件大小:61939
    • 提供者:yuanding
  1. PPM_Coder

    0下载:
  2. PPM 编码器 按照PPM编码格式编写的普通VHDL代码-PPM PPM encoder encoding format prepared in accordance with the ordinary VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1141
    • 提供者:newly
  1. ppm

    0下载:
  2. 实现PPM编码,经测试,准确可用。现在正在调解码器。不久也可以上传。-Implement PPM encoding, tested and accurate available. Now mediate codec. Can also be uploaded soon.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:611
    • 提供者:chenbing
  1. ppm

    1下载:
  2. 基于c8051f410单片机的ppm程序-Based on c8051f410 MCU ppm procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3995
    • 提供者:yangsheng
  1. ppm

    0下载:
  2. 这是一个ppm的VHDL代码,用于实现自动售货机等应用-this is a PPM in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1410
    • 提供者:zz
  1. PPM

    0下载:
  2. PPM编码 PPM的编解码方式一般是使用积分电路来实现的,而PCM编解码则是用模/数(A/D)和数/模(D/A)转技术实现的。 -PPM encoding PPM codec integral circuit, the PCM codec with analog/digital (A/D) and digital/analog (D/A) transfer technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:79788
    • 提供者:陳秋
  1. PPM采集和综合测试程序

    0下载:
  2. PPM信号的采集以及 综合测试程序.zip(PPM acquisition and integration test program.Zip)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1141760
    • 提供者:bsxq
  1. 解码PPM

    0下载:
  2. stm32读取飞控的ppm信号,并解码到stm32的定时器输出(STM32 reads ppm and decodes it)
  3. 所属分类:嵌入式/单片机编程

  1. PPM解码器

    0下载:
  2. 本代码主要功能是PPM解码,采用Verilog语言,通过移位寄存器和组合电路实现解码。(The main function of this code is PPM decoding.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:172032
    • 提供者:yuguofang
  1. ppm编解码器

    2下载:
  2. 进行ppm编解码的verilog代码,RTL描述(Verilog code for ppm encoding and decoding, RTL descr iption)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-26
    • 文件大小:28672
    • 提供者:孔_刘
« 12 3 4 5 »
搜珍网 www.dssz.com