CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - QAM调制

搜索资源列表

  1. stv0297.html

    0下载:
  2. 汤姆逊高频头自带QAM调制器0297在linux下的驱动,用C语言实现,可移植性很强。-Thomson tuner onboard QAM modulator in 0297 under linux drivers using C language, portability strong.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:13116
    • 提供者:吴雄峰
  1. RealizeQAMandmodulateswithDDSchipAD9852

    0下载:
  2. 摘要 文中提出用DDS芯片AB9852实现QAM数字调制的新方法,扩展AB9852在数字调制方面的应用。 。 . 关键词 QAM调制 单片机 DDS
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:86780
    • 提供者:梅名
  1. OFDM系统中的QAM调制

    2下载:
  2. OFDM系统中的QAM调制,用verilog语言编写,用于FPGA设计,QAM modulation for OFDM system
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:1320
    • 提供者:周美红
  1. 16QAM

    2下载:
  2. 16QAM调制与解调的Verilog语言的功能实现-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:311091
    • 提供者:由佳彬
  1. QAM

    1下载:
  2. 16qam调制器的FPGA实现。使用Verilog实现全数字16-QAM调制器。-16qam Modulator FPGA. Use Verilog for full digital 16-QAM modulator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-13
    • 文件大小:2075
    • 提供者:张维
  1. 6713-OFDM

    1下载:
  2. TI TMSC6713 DSP 实现 OFDM的调制和解调,以及相应的matlab功能仿真程序。-TI TMSC6713 DSP realization of OFDM modulation and demodulation, and the corresponding function matlab simulation program.
  3. 所属分类:DSP编程

    • 发布日期:2013-11-07
    • 文件大小:3450892
    • 提供者:lrj
  1. QAM16_Souce_code

    0下载:
  2. QAM 16 源代码,用于无线通信中或者广播中的调制。-QAM 16 source code, used in wireless communication or broadcasting.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17620
    • 提供者:panzhijian
  1. 16QAM

    1下载:
  2. 关于16-QAM调制系统的FPGA实现的论文-16-QAM modulation on the FPGA system to achieve the papers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:237830
    • 提供者:陈文诚
  1. 16qam——modulation

    1下载:
  2. verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。在quartusII运行通过。-verilog modulation procedures 16qam prepared all things into works mmm16 to run the main program. One carrier for a cycle of 10 points taken, and multiplied by an inte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5018
    • 提供者:王力宏
  1. cordic

    0下载:
  2. VHDL写的通用调制解调器的核心程序,通过调试 无错无警告-VHDL generic modem to write the core of the procedure, through no fault debugging without warning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:588
    • 提供者:zhaocheng
  1. QPSK

    1下载:
  2. OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:800
    • 提供者:周美红
  1. 16QAM

    1下载:
  2. 16QAM的调制实现 qam调制映射模块 qam.qpf为可执行主程序 testqam.bdf为原理图文件-16QAM modulation to achieve
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:264676
    • 提供者:郭思铁
  1. modulation

    1下载:
  2. 有关4QAM,16QAM,64QAM,DQPSK的调制解调的-The 4QAM, 16QAM, 64QAM, DQPSK modulation and Demodulation
  3. 所属分类:DSP program

    • 发布日期:2017-03-27
    • 文件大小:454000
    • 提供者:dick
  1. DATA_16QAM_MAP

    0下载:
  2. qam星座映射也qam调制的硬件实现代码详解。用于OFDM下行链路-qam qam modulation constellation is also the hardware implementation code Xiangjie. For OFDM Downlink
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1121
    • 提供者:李小凡
  1. qam

    0下载:
  2. QAM调制器代码是用IP2022单片机写的注意要调用SDK哈-QAM modulator IP2022 microcontroller code is written to call attention to Kazakhstan SDK
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:1467935
    • 提供者:LIUSHIJUN
  1. ad9852_4

    0下载:
  2. 采用MSP430作为主控制器,控制DDS芯片AD9854,输出高稳定度的正弦及方波信号,结合AD9854进行FSK,QAM调制-Using MSP430 as the main controller to control the DDS chip AD9854, high stability output sine and square wave signal, combined with AD9854 for FSK, QAM modulation
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3842
    • 提供者:alex
  1. qam16

    0下载:
  2. 实现16进制的QAM调制, 编译通过-Achieve 16 to 229 QAM modulation, achieve 16 to 229 QAM modulation, compiled by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:789538
    • 提供者:孙靖逸
  1. QAM161

    0下载:
  2. 一个QAM16调制方式的verilog设计,包括4个verilog源代码,能够构成一个完整的QAM调制器-A QAM16 modulationVerilog design , including four verilog source code, which can form a complete QAM modulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5161
    • 提供者:孙斌
  1. QAM调制解调程序

    0下载:
  2. 可实现4QAM,8QAM,16QAM等的调制解调,简单易懂
  3. 所属分类:其他嵌入式/单片机内容

  1. 16qam

    0下载:
  2. 一个16QAM数字调制电路,包括时钟生成电路,m伪随机序列生成电路,串并转换电路,电平映射电路、载波信号发生电路、ASK幅度调制电路及加法器(A 16QAM digital modulation circuit, including clock generation circuit, m pseudo-random sequence generation circuit, serial parallel conversion circuit, level mapping circuit, car
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2020-10-19
    • 文件大小:2685952
    • 提供者:独行的云
« 12 »
搜珍网 www.dssz.com