CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - VHDL 频率计

搜索资源列表

  1. vhdl-examples

    0下载:
  2. 这是eda初学者可以借鉴的两个关于电子频率计的VHDL设计实例
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11190
    • 提供者:刘磊
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. plj6

    1下载:
  2. 基于vhdl 的数字频率计的设计源程序及工程文件,已在实验箱上实现-vhdl,pinlvji
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:379459
    • 提供者:浅漓
  1. FPGA

    1下载:
  2. 基于FPGA的数字频率计的设计11利用VHDL 硬件描述语言设计,并在EDA(电子设计自动化) 工具的帮助下,用大规模可编程逻辑器件(FPGA/ CPLD) 实现数字频率计的设计原理及相关程序-FPGA-based design of digital frequency meter 11, the use of VHDL hardware descr iption language design, and EDA (electronic design automation) tools with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:665994
    • 提供者:董晨晨
  1. fequency

    0下载:
  2. 一款可用于数字频率计设计的IP核,使用该IP核科研构建基于SOPC技术的片上数字频率计,测频范围较宽。-A digital frequency meter using IP core
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:203840
    • 提供者:yzhuai
  1. etester_zcx1002

    0下载:
  2. 这是一个等精度频率计的VHDL源程序,里面有QuartusII的完整工程文件。-This is a precision frequency meter, such as the VHDL source code, which has a complete project file QuartusII.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:203370
    • 提供者:郑雄
  1. E_8051_FTEST_K4X4_new

    0下载:
  2. 是带51单片机核的等精度频率计的FPGA设计的部分。用VHDL编的,也有VERILOG的。-51 is a single chip with precision, such as the nucleus of the frequency of some of FPGA design. VHDL for use as well as the VERILOG.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-19
    • 文件大小:5455121
    • 提供者:李逵
  1. pinglvjiFPGA

    0下载:
  2. 基于等精度原理的频率计verlog代码,被测频率在1HZ到10MHZ误差百分比相同。-Based on the principle of the frequency of such precision code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1183676
    • 提供者:shengxi
  1. szplj

    0下载:
  2. 数字频率计,可以实现0.1至100000倍数之间的测量。-Digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3021
    • 提供者:cxp
  1. LCDfcout

    0下载:
  2. FPGA实现LCD显示的频率计,芯片为cyclone-FPGA realization of the frequency meter LCD display chip for the cycloneII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2251525
    • 提供者:wang wen tao
  1. freqtest

    0下载:
  2. 对复杂大规模可编程器件的特点,提出了一种新的数字频率计的实现方法。在QutusⅡ开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计。经过仿真,并下载验证。能够实现测频功能。-The complex features of large-scale programmable devices, a new realization method of digital frequency meter. In Qutus Ⅱ software development environment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:193370
    • 提供者:依然
  1. digital_frequence_counter

    0下载:
  2. 设计功能: 1..用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -Design features: 1. . Compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:22189
    • 提供者:八毛
  1. 4-10-VHDL-f1

    0下载:
  2. 四位10进制VHDL频率计设计说明 四位频率计的结构包括一个测频率控制信号发生器、四个十进制计数器和一个十六位锁存器(本例中所测频率超过测频范围时有警示灯)。-Four 10-digit frequency counter VHDL design descr iption of the structure of the four frequency meter includes a measuring frequency control signal generator, four deci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53919
    • 提供者:韦昊斯
  1. Verilog-HDL.RAR

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,可以作为不错的练习或课设题-vhdl langue
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1319544
    • 提供者:zhaoliang
  1. dengjingdu-pinlv

    0下载:
  2. 基于quartus II 软件用vhdl语言写的等精度频率计实验 源代码、最终生成文件全程奉献-Based on quartus II software written in other languages ​ ​ using vhdl precision frequency meter test source code, the resulting file full dedication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:687238
    • 提供者:大毛
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. 频率计

    0下载:
  2. Verilog和VHDL联合编写的频率计
  3. 所属分类:VHDL编程

    • 发布日期:2014-08-27
    • 文件大小:2477580
    • 提供者:mylovehk
  1. FMT

    0下载:
  2. 基于vhdl设计的数字频率计,后面还加了个与fpga通信的模块(Digital frequency meter based on VHDL design, and later added a module to communicate with FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:13743104
    • 提供者:羲曜
  1. 方案二

    0下载:
  2. 本系统是基于CPLD和单片机的一种用于信号频率周期、时间间隔和占空比测量的数字频率计,系统由AGC(自动增益控制)电路、宽带放大电路、高速比较电路实现有效值10mV/频率100MHz和处理显示部分组成,其中AGC电路实现幅度自动增益控制使放大后的信号幅度在一定的范围内保持一致,比较电路将前级电路输出的信号转换成CPLD,利用等精度测频原理,实现闸门时间1S的高精度测量。单片机通信处理数据并显示,数据表明,系统精度达到发挥部分要求。(This system is a CPLD microcontr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:77824
    • 提供者:小竹丶
  1. frenq2

    1下载:
  2. 数字硬件频率计 带有频率测量和占空比测量功能(Digital hardware frequency meter Frequency measurement and duty ratio measurement function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-10
    • 文件大小:564224
    • 提供者:NarcissusYe
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com