CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - VHDL FIFO

搜索资源列表

  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. RGB_Control

    0下载:
  2. 能将24bit的1080i数据直接存储到fifo中,经过实际的板子验证。还可以通过更改参数改到其他格式,如1080P,720P,720I等。-24bit of 1080i can store data directly to the fifo, the board after the actual verification. Can also be changed by changing the parameters to other formats such as 1080P, 720P, 7
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2474
    • 提供者:wwww
  1. RGB_480P

    0下载:
  2. 用VHDL写的,将24bit的480P数据直接存储到fifo中,经过实际的板子验证。还可以通过更改参数改到其他格式,如1080P,720P,720I等。-Written with VHDL, the 480P 24bit data will be stored directly to the fifo, after the actual board certification. Can also be changed by changing the parameters to other for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2467
    • 提供者:wwww
  1. FIFOadnVHDL

    0下载:
  2. FIFO (先进先出队列)是一种在电子系统得到广泛应用的器件,通常用于数据的缓存和用于容纳异步信号的频率或相位的差异。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2592
    • 提供者:姜昕
  1. RamFifoVHDL

    0下载:
  2. Ram Fifo Core VHDL file
  3. 所属分类:VHDL-FPGA-Verilog

  1. fifo89

    0下载:
  2. 先进先出FIFO缓冲器,8位字宽,9位字深,很简易的缓冲器。-FIFO FIFO buffer, 8-bit word wide, 9-bit words deep, very simple buffers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:269004
    • 提供者:gdfrg
  1. vhdl

    0下载:
  2. 该系统通过顶层模块,调用7底层模块实现。7大模块底层模块为:理想信源数据接收模块,理想信源数据缓存模块,LAPS成帧模块,加扰并发送LAPS帧模块,接收LAPS帧并解扰模块,接收LAPS帧数据缓存模块,解帧并发送数据给理想信源模块。另,还有一个fifo模块,以便两个缓存模块调用。-The system top-level module, called 7, the bottom module. Bottom-7 module module: the ideal source of data re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6126
    • 提供者:mao
  1. fifo

    0下载:
  2. 利用VHDL实现fifo,IPcode 的 FIFO-vhdl for fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3052
    • 提供者:liwei
  1. sfifo

    0下载:
  2. 牛逼的娴熟的异步fifo,vhdl程序,波形完美-fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:991
    • 提供者:周三疯
  1. FT2232H_USB_Core

    5下载:
  2. 在FPGA外扩用FT2232 实现UART TO USB 2.0 的通信。-The FT2232H is a USB2.0 Hi-Speed USB Device to FIFO IC. This core allows the use of this chip with an FGPA design in high speed FT245 style synchronous FIFO mode. Data rates up to 25 mbytes/s can be achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-11
    • 文件大小:6144
    • 提供者:李涛
  1. fifo_chipscope

    0下载:
  2. 学习FIFO的初级资料,代码工程在ISE10.1上运行,还有在线示波器chipscope的步骤指导哦!-Study of the primary data FIFO, the code works ISE10.1 run, there is scope chipscope step online guide Oh!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3297952
    • 提供者:nikis
  1. FIFO

    0下载:
  2. 这是用VHDL设计的一个8*9阵列的D触发器组成FIFO(first in first out)-This is a VHDL design using an 8* 9 array of D flip-flop composed of FIFO (first in first out)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:9142
    • 提供者:crossover
  1. FIFO24_CS8416[1]

    0下载:
  2. Fifo buffer vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1453
    • 提供者:cuong
  1. actel_FPGA_example_source

    1下载:
  2. actel中的FIFO的使用的示例代码,对于使用actel环境的初学者有一定的帮助。-actel the use of FIFO in the sample code for beginners to use actel environment will certainly help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4152
    • 提供者:leo
  1. FIFO

    0下载:
  2. 用VHDL语言编程实现的FIFO的设计,可用于数据的寄存和缓冲,libero仿真通过-Programming language using the FIFO VHDL design can be used for data storage and buffering, libero simulation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1094
    • 提供者:funny
  1. fifo

    0下载:
  2. 异步FIFO的VHDL程序,已经通过quartus编译和仿真。 -Asynchronous FIFO, VHDL program, has been compiled by quartus and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:20073
    • 提供者:白斌
  1. fifo

    0下载:
  2. fifo in vhdl file code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:820
    • 提供者:motti
  1. fifo.vhdl

    0下载:
  2. 异步fifo的vhdl源代码,可实现异步信号的传送-The asynchronous fifo vhdl source code, enabling the transmission of asynchronous signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:9254
    • 提供者:高丽
  1. FT245

    1下载:
  2. 在FPGA实现一个与外围USB FIFO 通信的FIFO控制核-The FPGA to implement a communication with the external USB FIFO FIFO control nuclear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-11
    • 文件大小:1024
    • 提供者:欧阳飞
  1. fifo_vhdl

    0下载:
  2. 基于fpga,cpld的异步FIFO的设计 用VHDL语言进行相关的功能模块设计-Based on fpga, cpld design of asynchronous FIFO associated with VHDL design modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:13204
    • 提供者:站长
« 1 2 3 4 5 67 8 9 10 11 »
搜珍网 www.dssz.com