CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Verilog HDL

搜索资源列表

  1. Verilog HDL典型入门例程

    2下载:
  2. 包括了跑马灯、交通灯、状态机等7个典型的Verilog HDL入门例程,希望对你有所帮助。
  3. 所属分类:嵌入式/单片机编程

  1. 使用verilog hdl实现16位的cpu设计

    1下载:
  2. 实现16位的cpu设计 内容使用verilog hdl实现,具体的实现步骤方法,都已经写到文档里面去了!,To achieve 16-bit design of the contents of the cpu using verilog hdl achieve, the specific methods to achieve these steps have already been written inside the document went to!
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-21
    • 文件大小:440568
    • 提供者:gimel_sh
  1. 基于Verilog hdl 的DMA控制代码

    4下载:
  2. 基于Verilog hdl 的DMA控制代码,Verilog hdl-based control of the DMA code
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-11
    • 文件大小:34253
    • 提供者:wxd
  1. Verilog-HDL

    0下载:
  2. 《Verilog-HDL实践与应用系统设计》一书中的光盘源文件- Verilog-HDL practice and application of system design, a book on CD-ROM source file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:770844
    • 提供者:范田田
  1. Verilog

    0下载:
  2. FPGA经典例子,可以让大家更好的学习Verilog HDL-Classic example of FPGA, allowing you to better learn Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:113239
    • 提供者:规范
  1. 1024FFT-verilog-hdl

    2下载:
  2. 基于spartan 3e 的IFFT算法verilog HDL程序-Based on the verilog 3e Spartan IFFT algorithm of HDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-25
    • 文件大小:437248
    • 提供者:caizhixiang
  1. Verilog--shiyanbaogao

    0下载:
  2. 有实验结果,用MOSIN6编写的,是Verilog HDL语言实现的. 练习三 利用条件语句实现计数分频时序电路 实验目的: 1. 掌握条件语句在简单时序模块设计中的使用; 2. 学习在Verilog模块中应用计数器; 3. 学习测试模块的编写、综合和不同层次的仿真。 练习四 阻塞赋值与非阻塞赋值的区别 实验目的: 1. 通过实验,掌握阻塞赋值与非阻塞赋值的概念和区别; 2. 了解阻塞赋值与非阻塞赋值的不同使用场合; 3. 学习测试模块的编写、综合和不同层
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:15937
    • 提供者:盼盼
  1. Verilog-book

    0下载:
  2. 学习Verilog语言必备资料,包括语法总结 编写Verilog HDL 源代码的标准及设计流程-Verilog language learning essential information, including syntax summary of Verilog HDL source code for the preparation of standards and design process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:3836418
    • 提供者:shaoyqo
  1. Mars_EP1C6F_Fundermental_demo(Verilog)

    1下载:
  2. FPGA开发板配套Verilog HDL代码。芯片为Mars EP1C6F。是基础实验的源码。包括加法器、减法器、乘法器、多路选择器等。-FPGA development board supporting Verilog HDL code. Chips for the Mars EP1C6F. Are the basic source experiment. Including the adder, subtraction, and multiplier, such as MUX.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1243671
    • 提供者:chenlu
  1. verilog

    0下载:
  2. 中文版Verilog HDL简明教程,很简洁,结合实例,很容易理解,适合初学者。-Chinese version of Verilog HDL A simple tutorial, very simple, with an example, it is easy to understand for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:104016
    • 提供者:邹仁波
  1. verilog

    1下载:
  2. 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:8651
    • 提供者:andy
  1. Verilog-HDL

    0下载:
  2. Verilog-HDL实践与应用系统设计-Verilog-HDL Practice and Application System Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15430386
    • 提供者:李秀艳
  1. Verilog-HDL

    0下载:
  2. 《北航常晓明Verilog应用》一书的pdf完整版,是学习Verilog的好书-" Beihang Chang Xiaoming Verilog Applications" pdf full version of the book is a good book to learn Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15433104
    • 提供者:甘福连
  1. verilog

    0下载:
  2. 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27830787
    • 提供者:lyy
  1. Verilog-huawei

    0下载:
  2. Verilog HDL华为典型电路设计。-Huawei typical Verilog HDL circuit design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:267147
    • 提供者:Air
  1. Verilog-HDL

    0下载:
  2. 这是关于VERILOG HDL的有限状态机的源码,大家参考参考,应该有好处的。-This is about VERILOG HDL source code for finite state machines, we refer to the reference, it should be good.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6126129
    • 提供者:罗啰
  1. A-Verilog-HDL-Primer

    0下载:
  2. 老外写的经典verilog书籍二 the A Verilog HDL Primer -Classic books written by foreigners verilog two the A Verilog HDL Primer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3126388
    • 提供者:书荣
  1. Verilog HDL(第4版)[王金明][电子教案]

    0下载:
  2. Verilog HDL(第4版)[王金明][电子教案].rar 注意是ppt教案。(Verilog HDL (Fourth Edition) [] [Wang Jinming].rar e-lesson plans note ppt plans.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:5427200
    • 提供者:lart
  1. 从零开始学CPLD和VERILOG HDL

    0下载:
  2. 从零开始学CPLD和VERILOG HDL(Learn CPLD and VERILOG HDL from zero)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-29
    • 文件大小:32979968
    • 提供者:Jerry20170718
  1. 《Verilog HDL设计与实战》配套代码(1)

    2下载:
  2. 《Verilog HDL设计与实战》配套代码 verilog源程序(Verilog HDL design and actual combat code Verilog source program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:6552576
    • 提供者:铭铭扬扬
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com