CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - XOR

搜索资源列表

  1. logic

    0下载:
  2. 5位的操作数X和Y输入后暂存在寄存器A和B中,两位的操作控制码control暂存在寄存器C中,按照control码的不同,分布实现下列操作: 00控制X+Y 01控制X-Y 10控制X and Y 11控制 X xor Y 运算结果暂存在寄存器D中,然后输出。 -5 of the operand X and Y after the temporary importation of A and B in the register, the two operational c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1597
    • 提供者:ALEX
  1. tx

    0下载:
  2. 通信协议: 第1字节,MSB为1,为第1字节标志,第2字节,MSB为0,为非第一字节标志,其余类推……,最后一个字节为前几个字节后7位的异或校验和。   测试方法:可以将串口调试助手的发送框写上 95 10 20 25,并选上16进制发送,接收框选上16进制显示,如果每发送一次就接收到95 10 20 25,说明测试成功。 //这是一个单片机C51串口接收(中断)和发送例程,可以用来测试51单片机的中断接收 //和查询发送,另外我觉得发送没有必要用中断,因为程序的开
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:657
    • 提供者:虾虾
  1. SmartDraw6.0

    0下载:
  2. SmartDraw6.0:组成原理课程设计的绘制流程图的好工具内附(本人设计的微指令流程图(有JG,JL,MOV,MOVX,OR,XOR,ADC,SUC,XCHG,IN,OUT,直接寻址,间接寻址,变址寻址等)-SmartDraw6.0: Principles of curriculum design components of a good tool for drawing flow chart attached (I designed the microinstruction flow ch
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-22
    • 文件大小:6858588
    • 提供者:南才北往
  1. RD_util2

    0下载:
  2. verilog utilities such as and, xor, xnor etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2068
    • 提供者:mark
  1. ALU

    0下载:
  2. 算术逻辑部件的verilog代码,它能够实现半加器、全加器、比较、按位与、按位或、按位异或、加一、减一的操作-Arithmetic logic unit of the verilog code, it can achieve half adder, full adder, compare, bitwise and, bitwise or, bitwise xor, plus one, minus one operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:169824
    • 提供者:李鹏飞
  1. debussy53

    0下载:
  2. Ultimate CRC is a CRC generator/checker. Using generics the core can be fully customized. It creates a function of the data input and the CRC register using XOR-logic. Although the levels of logic gets very high for wide data inputs, the throughput s
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:2108
    • 提供者:jf
  1. alu_32_bit

    0下载:
  2. 32位基本运算器的功能,加法,减法,或,异或,与等基本功能-32 basic calculator functions, addition, subtraction, or, XOR, and other basic functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2023
    • 提供者:张明亮
  1. msp430F135jianpan

    0下载:
  2. msp430F135键盘扫描程序,由2片74HC595串连构成的动态扫描LED数码管显示,通过 P1 异或 来取反 P1.5;软件循环延时-msp430F135 keyboard scanner, constituted by the two 74HC595 serial dynamic scanning LED digital display, by P1 XOR to take anti-P1.5 software delay loop
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:22434
    • 提供者:王峰
  1. communications_1

    1下载:
  2. 用vhdl代码描述的通信系统仿真程序。包括信源(20位m序列),crc编码(采用串行算法),加噪(用22位m序列产生稀疏的1,然后和编码后的数据异或)。-Vhdl code with the simulation program described in the communication system. Including the source (20 m sequence), crc code (using the serial algorithm), noise (with 22 m se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:483636
    • 提供者:李修函
  1. communications_2

    2下载:
  2. 用vhdl代码描述的通信系统仿真程序。包括信源(20位m序列),crc编码(采用串行算法),加噪(用22位m序列产生稀疏的1,然后和编码后的数据异或),crc解码,数据串行输出。 -Vhdl code with the simulation program described in the communication system. Including the source (20 m sequence), crc code (using the serial algorithm), no
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:120498
    • 提供者:李修函
  1. Logicos

    0下载:
  2. Is a Simple andOr, xor, sr circuit on Verilog and his testBench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:906
    • 提供者:Andrejo
  1. sdsdsd

    0下载:
  2. Cpu 8bit. Vorks good. Taking all instructions, sdo OR Xor and athor... Is registers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7869
    • 提供者:kaktusasturbo
  1. shift_register

    0下载:
  2. It is noise generator.it is a linear feedback 16 shift-registe where the bits 15,14,12,3 are fed back via xor gates.make random signal close to real noise
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:482275
    • 提供者:sa
  1. 8CPU

    0下载:
  2. 指令寄存器:在触发时钟的正沿触发下,寄存器将数据总线送来的指令存入寄存器; 累加器用于存放当前的结果,它也是双目运算的一个数据来源; 算术逻辑运算单元根据输入的8种不同操作码实现相应的加、与、异或、或等8种基本操作运算; 状态控制器实际上就是一个状态机,它是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令、读写I/O端口、对ROM数据的读取等操作,都是由状态机来控制的; -Instruction Register: The trigger is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:24984
    • 提供者:王金
  1. ass1_2_hamming

    0下载:
  2. Hamming codes are a class of binary linear codes. They can detect up to two simultaneous bit errors, and correct single-bit errors. In particular, a single-error-correcting and double error detecting variant commonly referred to SECDED.-a) Develop a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1133589
    • 提供者:wei chenghao
  1. xor_encryption

    0下载:
  2. A simple XOR encryption using verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1420
    • 提供者:Moganeshwaran
  1. lab1

    0下载:
  2. 本实验主要设计基本的门电路,包括两输入与门,两输入与非门,两输入或门,两输入 或非门,两输入异或门,两输入同或门。-In this study, the basic design of the main gates, including two input AND gate, two input NAND gate, two input OR gate, the two input NOR gate, the two input XOR gate with two input OR gate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:547
    • 提供者:
  1. perceptron

    0下载:
  2. Perceptron implementation for the logic ports: and, or, not and xor.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:272555
    • 提供者:Bocato
  1. alu

    0下载:
  2. 本程序实现算术逻辑单元(ALU)设计,包括:清零、逻辑乘、逻辑加、异或、算术加、左移、右移等等功能。-Arithmetic logic unit to achieve the program design, including: clear, logical multiplication, logical add, XOR, arithmetic plus, left, right, and so function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:80035
    • 提供者:肖飞
  1. TTL-CMOS-Tester

    1下载:
  2. BASCOM-AVRTTL和CMOS集成电路测试项目: 这个项目是一个图形化的逻辑IC测试仪。支持这一方案六个著名逻辑IC ,NAND,OR,NOR,XOR,NOT。 CMOS(40xy)或TTL(74xy)系列兼容这个项目。-TTL and CMOS IC Tester Project: This project is a graphical logic IC tester. this program support six famous logic ic AND, NAND,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:129828
    • 提供者:lupo
« 1 23 4 »
搜珍网 www.dssz.com