CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - XOR

搜索资源列表

  1. MEGA128_CRC16

    0下载:
  2. ATMEGA128应用CRC16的代码,并且突出了初始值和异或值参数-ATMEGA128 CYXLIC REDUNDANCY application code, and highlights the initial value and XOR parameter
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2015-06-11
    • 文件大小:1109
    • 提供者:苏蔚
  1. fet110_1

    0下载:
  2. //*** *** *** *** *** *** *** * // MSP-FET430x110 Demo - Software Toggle P1.0 // // Descr iption: Toggle P1.0 by xor ing P1.0 inside of a software loop. // ACLK = n/a, MCLK = SMCLK = default DCO ~800k // // MSP430F1121 // --------------
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:862
    • 提供者:111
  1. crc32源码及资料

    1下载:
  2. CRC校验采用多项式编码方法。多项式乘除法运算过程与普通代数多项式的乘除法相同。多项式的加减法运算以2为模,加减时不进,错位,如同逻辑异或运算。-CRC polynomial used coding method. Polynomial multiply and divide computing process and the general polynomial algebra multiply and divide the same. Polynomial and subtract oper
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:4967260
    • 提供者:陈少良
  1. OCMJ15X20D

    0下载:
  2. 支持文字与绘图两种混和显示模式 " "支持2 Page 显示模式(And, Or, Nor, Xor) "-LCD
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:3889
    • 提供者:Angel.lee
  1. ALU1

    0下载:
  2. ALU 指令格式(16位) op DR SR fun 0--3 4—7 8--11 12--15           指令类 OP码 指令 FUN 功能描述 控制 0000  NOP 0000 空指令 HLT 0001 停机 有条件跳转 0010  JZ 0000 Z=1,跳转 JC 0001 C=1,跳转 JNC 0010 C=0,跳转 JNZ 0100 Z=0,跳转 Jump 0101 无条件跳转 LOAD 001
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1078
    • 提供者:翟志强
  1. CalcCRC

    0下载:
  2. CalcCRC8 The CalcCRC8 is used to append an eight-bit CRC to the message. A successful CRC check results in returning a 0. Implementation: This CRC will be using the CRC-16/CITT protocol. A 16-bit wide non-reflected code that starts initially
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-26
    • 文件大小:880
    • 提供者:FarmerBoy
  1. RISC-CPU

    1下载:
  2. 用FPGA实现一个简易的CPU,采用精简指令集结构,每一条指令有16bit,高三位为指令操作数,后13位为地址,该CPU能实现8种指令操作,分别有HLT(空一个中期)ADD(相加操作)SKZ(为零跳过)AND(相与操作)XOR(异或操作)LDA(读数据)STO(写数据)JMP(无条件跳转指令)。cpu包括8个部件,分别为时钟发生器、指令寄存器、累加器、算术逻辑单元、数据控制器、状态控制器、程序计数器、地址多路器,各个部件之间的相互操作关系由状态控制器来控制,程序指令存放在初始rom中,本例程存放
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3147284
    • 提供者:vice
  1. chuankoujingling

    0下载:
  2. 所有的单片机都会涉及到232 或者485通讯,而通讯中又不可避免的出现错误.本例就给出了一个现成的校验软件.完成串口通讯,并且进行crc,累加和,异或和等效验结果-All of the single-chip will be 232 or 485 relating to communications, and communications also inevitable error. In this case on a given off-the-shelf software validatio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:309065
    • 提供者:haifengmofan
  1. DE2_VGA3

    0下载:
  2. The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1276567
    • 提供者:Donghua Gu
  1. alu

    0下载:
  2. 用VHDL实现8种运算的ALU,带鱼不带符号的加减乘除,与或异或和求反-Use VHDL to achieve the eight kinds of computing ALU, hairtail unsigned addition and subtraction, multiplication and division, with or XOR and seek anti-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:1238
    • 提供者:helen
  1. mega48_HEF4070_lcddriver

    0下载:
  2. MEGA48与异或门驱动LCD+红外控制原理图 eagle编译-MEGA48 XOR gate driver with LCD+ Infrared control schematic compiler eagle
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:55707
    • 提供者:Jeson
  1. ALU

    0下载:
  2. 在Xilinx7.1平台下编写的ALU代码,可以实现五位加法、减法、与、异或四种运算!-Xilinx7.1 platform in the preparation of the ALU code, can be achieved five adder, subtraction, and, four computing XOR!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1403
    • 提供者:梁晓炬
  1. b

    0下载:
  2. 利用神经网络BP算法求解异或问题的源代码-The use of neural network BP algorithm XOR problem s source code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1261
    • 提供者:rrr
  1. disanci

    1下载:
  2. 5位的操作数X和Y输入后暂存在寄存器A和B中,两位的操作控制码control暂存在寄存器C中,按照control码的不同,分布实现下列操作: 00控制X+Y 01控制X-Y 10控制X and Y 11控制 X xor Y 运算结果暂存在寄存器D中,然后输出。 -5 of the operand X and Y after the temporary importation of A and B in the register, the two operational c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:408335
    • 提供者:ALEX
  1. xor

    0下载:
  2. 异或门的FPGA实现的verilog代码-xor FPGA realization of the verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:3099
    • 提供者:胡兵
  1. my_xor

    0下载:
  2. 异或门,Verilog实现,包含实验说明文档。-XOR gate, Verilog implementation, including test documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:893825
    • 提供者:姚成富
  1. XOR

    0下载:
  2. vhdl code for XOR gate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9350
    • 提供者:vasu
  1. xor

    0下载:
  2. Xor gate implementation in vhdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5933
    • 提供者:aryan
  1. xor

    0下载:
  2. implementation of XOR gate in VHDL with rtl view and simulations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:23161
    • 提供者:roby
  1. XOR-serial-communication-simulation

    0下载:
  2. vb mcu51 proteus 串口异或通信仿真,自己的源程序能用.-XOR vb mcu51 proteus serial communication simulation, their source can be used.
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:544695
    • 提供者:zoomhan
« 12 3 4 »
搜珍网 www.dssz.com