CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - code huffman

搜索资源列表

  1. hf-vhdl

    0下载:
  2. A huffman code in VHDL.
  3. 所属分类:VHDL编程

  1. Huffman

    0下载:
  2. 用于视频运动图像编码的HUFFMAN编码,可广泛运用于MPEG-Moving Picture for video coding Huffman coding, can be widely applied to MPEG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1569235
    • 提供者:zs
  1. xapp616

    0下载:
  2. huffman code vhdl program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-27
    • 文件大小:13141
    • 提供者:andy singh
  1. HUFF

    0下载:
  2. 这是霍夫曼编码的c语言实现 可以直接在编辑器上运行-This is the Huffman code of c language directly in the editor to run
  3. 所属分类:Windows CE

    • 发布日期:2017-04-14
    • 文件大小:3014
    • 提供者:bichaozhi
  1. cf_cordic_latest.tar

    0下载:
  2. 一个基于哈弗曼编码的解码器,用于jpeg格式的图片的解码,以及音频流解码。-Huffman code is used in the most streaming applications. I have written a Huffman decoder for jpeg pictures. For audio or other data streams the code have to adapt.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:460980
    • 提供者:赵恒
  1. code_huffman

    0下载:
  2. this code implements huffman coding on Xilinx FPGA.the code is designed for Xilinx SDK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1890
    • 提供者:tronix
  1. code

    0下载:
  2. Code for Compress the image using Huffman encoding
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:292288
    • 提供者:jai
  1. huffman

    0下载:
  2. 哈弗曼编码的设计源代码以及测试源代码以及仿真结果图-Havermann source code design and testing source code and Simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:102260
    • 提供者:cong
  1. Huffman

    0下载:
  2. 用VHDL编写的huffman编码的源程序-With the VHDL source code written in huffman coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9853
    • 提供者:孙敬峰
  1. huffmandecoder_latest.tar

    0下载:
  2. huffman decoder and encoder blocks fully generated in vhdl code.hope it helps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:231881
    • 提供者:sh
  1. huffmandict

    0下载:
  2. Huffman code dictionary generator
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3369
    • 提供者:Birhane
  1. mkjpeg.tar

    1下载:
  2. 用FPGA实现的JPEG编码器,可以直接使用,内含完成说明文档,经过验证无误。-• JPEG baseline encoding JPEG ITU-T T.81 | ISO/IEC 10918-1 • Standard JFIF header v 1.01 automatic generation • Color images only (3 components, RGB 24 or 16 bit, YUV input) • T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-04
    • 文件大小:21650432
    • 提供者:
  1. huffmanEncode

    0下载:
  2. 基于c语言的哈夫曼编码,对需要的同学,可以提供一定的参考和帮助。-huffman code of C
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-10
    • 文件大小:967
    • 提供者:zhuhuawei
  1. huffman_code

    0下载:
  2. 与众不同,且通俗易懂的生成huffman code方法-Unique, and user-friendly method of generation huffman code
  3. 所属分类:Embeded Linux

    • 发布日期:2017-12-03
    • 文件大小:1147
    • 提供者:grove
  1. MP3-coder

    0下载:
  2. In this design, it is assumed that a buffer sized as 1024x8 bits provides main data including scale factors and Huffman code bits to Huffman decoder. Also, it is assumed that a memory with 1024x8 bits is ready for each component to write or read t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:37356
    • 提供者:睿宸
  1. Huffman-Encoder

    1下载:
  2. 本压缩包,包换一个用verilog语言实现的huffman编码源程序,同时给出了众多论文和基础知识的文档资料,一应俱全。-The compression package, shifting one using huffman coding verilog language source code, and gives basic knowledge of many papers and documentation, everything.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11847457
    • 提供者:普尔
  1. huffman.vhdl

    0下载:
  2. Hoffman Tree VHDL Code. All credit goes to the other guy who uploaded this to this website.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5936
    • 提供者:Cheng
  1. HDL_Syn_V3.1

    0下载:
  2. 哈夫曼编码 包括synthesis优化。 Huffman encoding verilog code including synthesis optimization.-Huffman coding involves synthesis optimization. Huffman encoding verilog code including synthesis optimization.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:54477
    • 提供者:
  1. 哈夫曼编码器设计实验报告

    2下载:
  2. 要求对一段数据序列进行哈夫曼编码,使得平均码长最短,输出各元素编码和编码后的数据序列。 ①组成序列的元素是[0-9]这10个数字,每个数字其对应的4位二进制数表示。比如5对应0101,9对应1001。 ②输入数据序列的长度为256。 ③先输出每个元素的编码,然后输出数据序列对应的哈夫曼编码序列。(Design a 1MHz FIR low pass filter. Huffman coding is required for a section of data sequence to m
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-04
    • 文件大小:182272
    • 提供者:羊羊驼
搜珍网 www.dssz.com