CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - csa

搜索资源列表

  1. 12864lcd

    0下载:
  2. 下面是对液晶屏gxm12864d操作的函数, 128x64点阵,汉字显示,CSA,CSB用来进行左右显示区的选择-12864lcd
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:13631
    • 提供者:jhon brown
  1. csa_float_multiplier

    0下载:
  2. 新型的浮点乘法器 用csa来实现可以用在浮点乘法器的地方-A new type of floating-point multiplier with CSA to achieve floating-point multiplier can be used in place
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:165408
    • 提供者:mmq
  1. MAC_4_CSA

    0下载:
  2. MAC-4bit verilog source code with CSA style
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2534
    • 提供者:bulbul1225
  1. ADDER

    0下载:
  2. simple 16-bit CSA Adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:65146
    • 提供者:calvin
  1. 12864_LCD

    0下载:
  2. 这是我写的一个LCD程序,主要控制端口有:ST0、SET、E、RW、RS、CSB、CSA,不要搞错了哈-This is a program I wrote an LCD, the main control port are: ST0, SET, E, RW, RS, CSB, CSA, make no mistake Kazakhstan ~ ~呵呵~ ~
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:11890
    • 提供者:周鹏
  1. csa.tar

    0下载:
  2. opencore ,csa 的vhdl硬件源代码,-opencore, csa of vhdl hardware, source code,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:45777
    • 提供者:赵文军
  1. multiplier_csa

    0下载:
  2. 8 bit Multiplier, CSA type
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:744
    • 提供者:kk
  1. csa_latest.tar

    0下载:
  2. DVB Common Descrambling Algorithm (DVB-CSA)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:73621
    • 提供者:Birrax
  1. Analysis-Of-The-Dvb-Common-Scrambling-Algorithm.r

    0下载:
  2. Analysis of the DVB Common Scrambling Algorithm (DVB-CSA) on FPGA implementation. Performance and Security.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:177530
    • 提供者:Birrax
  1. csa_verilog_rtl

    0下载:
  2. CSA加扰算法verilog实现,代码经过fpga验证,可以正确实现该算法。-CSA verilog rtl codeing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:34513
    • 提供者:he
  1. capsense_csa

    0下载:
  2. Cypress 触摸按键项目文件,介绍CapSense CSA的好例子。-Cypress CapSensing techniqe, talks about CSA
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:378886
    • 提供者:Michael
  1. CSA-_code

    0下载:
  2. CSA(Carry Select Adder) Code in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:31579
    • 提供者:Zorba
  1. Digital_multiplier_code

    0下载:
  2. digital_multiplier_code in VHDL (including CSA, Booth algorithm, wallace tree)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:123213
    • 提供者:Zorba
  1. csa_32

    0下载:
  2. The folder gives the 32 bit carry adder chain. IN CSA for cin = 1 or 0 ripple carry adders are used.-The folder gives the 32 bit carry adder chain. IN CSA for cin = 1 or 0 ripple carry adders are used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10078
    • 提供者:padmapriya
  1. CSA

    0下载:
  2. carry save adder vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1435
    • 提供者:amirul
  1. csa

    0下载:
  2. this file is algorithm and method about csa algorithm
  3. 所属分类:VHDL/FPGA/Verilog

  1. csa2

    0下载:
  2. this file is a algorithm methode about csa
  3. 所属分类:VHDL/FPGA/Verilog

  1. c5

    0下载:
  2. [International Journal of Electronics and Telecommunications] Dedicated Digital Hardware for DVB-CSA Encryption
  3. 所属分类:VHDL/FPGA/Verilog

  1. cw1

    0下载:
  2. ournal of Electronics and Telecommunications] Dedicated Digital Hardware for DVB-CSA Encryption
  3. 所属分类:VHDL/FPGA/Verilog

  1. cpuqw

    0下载:
  2. about csa algorithm, i don,t any about this
  3. 所属分类:VHDL/FPGA/Verilog

« 12 »
搜珍网 www.dssz.com