CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - d3

搜索资源列表

  1. 1335c51

    1下载:
  2. SED1335驱动320x240图形液晶驱动演示程序 接口情况表述: No: LCM ----- 52 --------------------- 1...VSS..... GND 地线 2...VDD..... +5V(VCC) 电源 3...VO ..... -Vadj Input 对比度负压调整输入 4...A0 ..... P2.0 寄存器选择信号,命令数据方式选择 5.../WR..... WR 写有效
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:16133
    • 提供者:ljhy
  1. extINT

    0下载:
  2. 富士通单片机MB902420系列 extINT Project: All external Interrupt-Pins INT0 .. INT7 will be enabled. A falling edge on INTx will toggle PDR4_P4x in order to toggle the LEDx of the Flash-CAN-100P Board e.g. falling edge on INT3 will result in LED D3
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:171579
    • 提供者:叶良
  1. mcd-lED2

    0下载:
  2. 该程序的目的是让大家熟悉输入、输出端口的使用 该程序的功能是把演示板当做一个按键计数器。刚刚接通电源时,8只发光二极管都不亮。 表示计数器初值为0,当按下开关S3(RB0)时,计数器的值加1,发光二极管D3点亮,表示值1,然后松开 按钮;再次按下开关S3(RB0)时,计数器的值又加1,发光二极管D4点亮,表示值2,依次类推,反复循环。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3065
    • 提供者:chuanji
  1. TIMER

    0下载:
  2. HCS08例程:本程序是TIMER模模块的例程,所用资源为单片机模定时器MTIM,所接器件为LED灯,其中D2与PTA0,D3与PTA1相连接!
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:68872
    • 提供者:louyj
  1. KB

    0下载:
  2. S08例程:本程序是KB模块的例程,所用资源为单片机内部KBI模块,所接器件为按键和LED,其中D2与PTA0,D3与PTA1,S2与PTA2,D3与PTA3相连接
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:72258
    • 提供者:louyj
  1. IO

    0下载:
  2. S08例程:本程序是I/O模块的例程,所用资源为单片机通用IO接口,所接器件为LED灯, 其中D2与PTA0,D3与PTA1相连接!
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:79312
    • 提供者:louyj
  1. MCD-LED2

    0下载:
  2. 单键触发8位二进制累加计数器 该程序的目的是让大家熟悉输入、输出端口的使用 该程序的功能是把演示板当做一个按键计数器。刚刚接通电源时,8只发光二极管都不亮。 表示计数器初值为0,当按下开关S3(RB0)时,计数器的值加1,发光二极管D3点亮,表示值1,然后松 开 按钮;再次按下开关S3(RB0)时,计数器的值又加1,发光二极管D4点亮,表示值2,依次类推,反复循 环。-Speed 8-bit binary up-counter trigger the
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1573
    • 提供者:yuanying
  1. wuxianyaokong

    1下载:
  2. 将无线接收模块按照脚位对应插入学习板无线插座注意,不要插错,否则会损坏模块学习板学习板处于正常工作状态D3,D2,D1,D0 ,LED灯亮起,将程序tanst.hex 下载 到学习板程序在配套光盘HZC51学习板使用教程HZC51学习板实验程序无线遥控程序无线遥控里面,下载完后,数码管显示0000按遥控发射器A键,此时遥控器红灯亮起,并发出编码信号,学习板,收到信号并解码并驱动数码管显示4000分别按遥控器B,D,C键,学习板分别显示此遥控器发射距离开阔地可达到100米-The wireless
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-28
    • 文件大小:14327
    • 提供者:lili
  1. lcd_ov7670

    0下载:
  2. STM32F103 控制 OV7670 输出图像至 LCD // HREF PC8 // VSYNC PC11 // PCLK PC10 // SIOD PA6 // XCLK1 PA8 // SIOC PA7 // D0 PC0 // D1 PC1 // D2 PC2 // D3 PC3 // D4 PC4 // D5 PC5 // D6 PC6 // D7 PC7 -STM32F103 OV76
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1078814
    • 提供者:张庆华
  1. Wireless remote control of music

    1下载:
  2. 简单的无线遥控音乐制作 采用HC2272,HC2262接收, 对应管脚连接: 1、无线遥控部分 D0----P1^0 D1----P1^1 D2----P1^2 D3----P1^3 VT----P3^2 2、显示部分: 74LS48驱动共阴数码管 A-----P3^0 B-----P3^1 C-----P3^2 D-----P3^3 3、蜂鸣器(或喇叭)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-24
    • 文件大小:34987
    • 提供者:lmj
  1. trh031v1

    0下载:
  2. This a source of 13.560MHz RFID card reader for TRH031M as ATMEGA8-16AU MPU. The title is 3Alogics TRH031M 13.56MHz RFID Reader V1.0. project : RFID Reader V2.0 Target : MEGA8-16AU Crystal: 16.000 Mhz Input : TRH031M RFID 13.56MHz Outp
  3. 所属分类:Other Embeded program

    • 发布日期:2014-04-09
    • 文件大小:12203
    • 提供者:acleds
  1. LEDCycle

    0下载:
  2. simple firmware example to demonstrate use of the general purpose indicator LEDs (D2,D3,D4,D5) on the Development Kit board.
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2513
    • 提供者:Willson Yang
  1. LEDCycle

    0下载:
  2. Simple firmware example to demonstrate use of the general purpose indicator LEDs (D2,D3,D4,D5) on the Development Kit board. Because it requires an external memory bus, this firmware is for FX2LP and FX1 only. It will not run on FX2LP18.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:2158
    • 提供者:Geduxas
  1. EightLEDlightsadvertisingdesigns

    0下载:
  2. 将J7插上短路块,连接ISP下载器到学习板,将CS13-4.hex 文件下载到单片机,D0,D1,D2,D3,D4,D5,D6,D7,8个LED即显出模似彩灯靓丽效果,煞是好看!-Plug in the J7 short block, connected to the learning board ISP downloader, the CS13-4.hex file downloaded to the MCU, D0, D1, D2, D3, D4, D5, D6, D7, 8-LED die
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:8193
    • 提供者:杨雪松
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
  1. qd-asm

    0下载:
  2. 又一种抢答器的AT89S51程序, SW1 SW2 SW3 SW4 用数码管显示最先按下的按键 01 02 03 04 并点亮对应灯: D2 D3 D4 D5 显示2S后退出。 同时发出 “嘟”一声。-Yet another answering device AT89S51 procedures, SW1 SW2 SW3 SW4 with digital display by pressing the button the first 01 02 03
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:10537
    • 提供者:zhengtiyu
  1. key

    0下载:
  2. 键盘扫描(例程: pcacp.c) 摘要:这部分程序是通过PCA来捕捉下降沿变化,来实现对板子上D2/D3两个LED进行控制。 具体操作:在IDE环境下对F340_example\F340_key\pcacp.c文件进行编译、下载、运行, 其中S4、S3分别对D2进行取反控制,S2、S1分别对D3进行取反控制。-Keyboard scan (routine: pcacp.c) Abstract: This part of the program is falling through
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:22556
    • 提供者:neuprince
  1. GPBR_test

    0下载:
  2. 本实验实现对RSTC和GPBR的测试,在程序中先往四个备份寄存器里写入相应的值,然后把整个 处理器和外设软件复位 在下次重新启动的时候,在串口里显示四个备份寄存器的值,并和之 前写入的值进行判断,如果相同,那么开发板上的D2灯闪烁,否则D3灯闪烁。 通过串口输出相关信息。-In this study RSTC and GPBR achieve the test in the program before the backup register is written to the fo
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:75949
    • 提供者:李嘉
  1. F340_key

    0下载:
  2. 本程序为PCA捕捉例程,判断4个(S1-S4)按键是否按下然后触发中断对LED灯 D2\D3取反同时也完成按键扫描的过程-The procedures for PCA capture routine, to determine four (S1-S4) button is pressed and then trigger the interruption of LED lights D2 \ D3 negated also completed the process of key scan
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:6947
    • 提供者:
  1. lock-and-lcd

    0下载:
  2. 基于博创实验箱UP-CUP-FPGA2C35-Ⅱ和Verilog HDL硬件描述语言,分为按键输入模块、LED指示灯模块及LCD显示模块,采用按键BTN1、BTN2作为输入端输入四位密码与事先设定的密码进行匹配,由D1、D2、D3、D4四盏LED灯来指示输入密码的位数。开机时,LCD显示“HELLO! WELCOME!Enter the code:当”,密码输入正确时,LED灯D7亮,同时在实验箱LCD显示屏上显示字符串“Good! Well done!you are right!!!”,当密码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2640
    • 提供者:吴寿武
« 12 3 »
搜珍网 www.dssz.com