CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - dds verilog

搜索资源列表

  1. DDSFPGA_cylone

    0下载:
  2. dds设计,花了一个星期做的,verilog写的,可生成多种波形,频率范围可上M,性能不错。-dds design, spent a week doing, verilog written, multiple waveform generation, frequency range available on the M, good performance.
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:637936
    • 提供者:苏纳
  1. oneperiod

    0下载:
  2. 将正弦波分割,数字化处理,即dds技术,为verilog做准备
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3142
    • 提供者:严新文
  1. dds_quicklogic

    0下载:
  2. 这是quicklogic公司的直接频率合成(DDS)Verilog代码
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:22732
    • 提供者:jinzhoulang
  1. DDSverilogsource

    0下载:
  2. DDS的VERILOG原代码,请大家多支持
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3305
    • 提供者:屈开
  1. dds_using_FPGA

    0下载:
  2. verilog编写基于fpga的DDS实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:448910
    • 提供者:宇天
  1. DDS

    0下载:
  2. 基于fpga的正余弦波形发生器,Verilog代码,测试通过。-Cosine waveform generator fpga based, Verilog code, the test passes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4471745
    • 提供者:黄迟
  1. DDS

    0下载:
  2. 基于fpga的DDS详细设计方案 verilog语言 正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-Direct Digital Synthesizer base on fpga use verilog Sine calculator to calculate the value of the digital phase sine wave amplitu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5949576
    • 提供者:网窝囊
  1. DDS

    0下载:
  2. verilog编写,使用fpga中dds手法,可以输出任意波形的发生信号。-verilog write, use the dds fpga way, you can output an arbitrary waveform signal occurs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:507229
    • 提供者:李俊
  1. dds

    0下载:
  2. 在quartus软件上,采用verilog实现DDS功能。- using verilog realize DDS function On quartus software.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2167098
    • 提供者:刘云
  1. dds

    0下载:
  2. 这是本人在学校做的一个DDS信号发生器,频率相位可调。输入时钟50Mhz-DDS phase frequency adjustable Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2437497
    • 提供者:wen show
  1. DDS

    1下载:
  2. Verilog实现DDS线性调频,Verilog实现DDS线性调频-Verilog implementation of DDS linear FM,Verilog implementation of DDS linear FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:910
    • 提供者:youyou
  1. DDS

    0下载:
  2. FPGA基于FPGA的DDS设计verilog程序-FPGA DDS project verilog procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:10512
    • 提供者:吴汉
  1. DDS

    0下载:
  2. FPGA实现三通道DDS信号源Verliog程序-FPGA to achieve three-channel DDS signal source Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9389154
    • 提供者:果粒橙
  1. DDS(ok)

    0下载:
  2. 制作ROM正弦表并填充FPGA内部ROM,通过调用内部数据实现正弦波输出,开发环境quartusii , 语言verilog , 调试通过 , 附有modelsim调试结果。-Make ROM sine table and fill the ROM internal FPGA, by calling the internal data to achieve the sine wave output, development environment QuartusII, Language Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10149508
    • 提供者:PrudentMe
  1. DDS

    0下载:
  2. 基于FPGA,Verilog语言编写的DDS信号发生器,可生成方波、正玄波,三角波。-Based FPGA, Verilog language DDS signal generator that generates a square wave, sine wave, triangle wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:131453
    • 提供者:梁世强
  1. dds

    0下载:
  2. 这是一个用Verilog语言实现的一个数字信号产生器算法-This is a use Verilog language implementation of a digital signal generator is presented
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2734916
    • 提供者:liu liushuai
  1. dds_synthesizer

    0下载:
  2. Verilog编写的基于DDS的信号发生器,频率可变。(Verilog prepared by the DDS-based signal generator, the frequency variable.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:332800
    • 提供者:lionsde
  1. ex_DDS

    0下载:
  2. 基于Verilog语言实现DDS(数字频率合成器)的设计,有完整的工程设计代码和仿真脚本(Verilog language based on DDS (digital frequency synthesizer) design, there is a complete engineering design code and simulation scr ipts)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:7505920
    • 提供者:WaaDee
  1. signal

    0下载:
  2. 简易频谱仪 256位 采用了直接数字频率合成技术(DDS)和计算机控制技术,选择美国Analog Devices公司的高度集成DDS芯片AD9851和AT89S52单片机作为控制器件,设计了一种基于DDS的程控信号发生器。用C语言进行了软件应用设计。实验结果表明,该信号发生器能较好地产生较高稳定度的激励信号,具有较高的实用价值。(Simple spectrum meter 256 bit)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:7323648
    • 提供者:luke28
  1. verilog实现dds

    1下载:
  2. 基于FPGA实现信号发生器的的功能,较好的参考资料。(The function of signal generator is realized based on FPGA, which is a good reference.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-09-28
    • 文件大小:2594816
    • 提供者:sudochang
« 1 2 3 4 5 67 8 9 »
搜珍网 www.dssz.com