CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - de2-70

搜索资源列表

  1. DE2_70_User_manual_v101

    0下载:
  2. Altera DE2-70开发板的使用手册
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3686088
    • 提供者:桑圣锋
  1. vga_display.rar

    0下载:
  2. VGA controller源码及显示汉字和ascii字符的c代码实例,已在DE2-70上实现,vga_controller source code and c code which can display chinese charactors and ASCII code on the VGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:304441
    • 提供者:
  1. DE2_70_pin_assignments.rar

    0下载:
  2. de2-70的引脚配置文件,给各位急需分享一下,用于fpga的开发,de2-70 of the pin configuration files, to share that much-needed for the development of fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3301
    • 提供者:朱轶凌
  1. clock-a-stopwatch

    0下载:
  2. 基于DE2-70平台,可实现功能: 1、在LCD上显示时间 2、在数码管上显示跑表-DE2-70-based platform, enabling functions: 1、display time on the LCD 2、display stopwatch the digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2239
    • 提供者:Robert
  1. demo_VGAcolpattern

    0下载:
  2. DE2-70 VGACONTROLLER FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15738396
    • 提供者:韩贵黎
  1. XHDL3Version3·2·37

    0下载:
  2. vhdl语言和verilog语言转换工具 能很容易的实现两种语言的相互转换-verilog language vhdl language and conversion tools can easily achieve the conversion between two languages
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3963600
    • 提供者:liulei
  1. lcd_timing_controller

    0下载:
  2. DE2-70 ltm timing Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1349
    • 提供者:asdasdsd
  1. lcd_drv

    0下载:
  2. lcd driver 16x2 to drive lcd dispaly on altera de2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2193
    • 提供者:jan
  1. main_control

    0下载:
  2. listing program to display a character in DE2 Altera s LCD with keyboard as an input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1084810
    • 提供者:kevin
  1. DE2_70_AUDIO

    0下载:
  2. 是用VERILOG HDL和NIOS II C/C++ 编的DE2-70板子的音频编解码芯片的使用工程-Is VERILOG HDL and NIOS II C/C++ code of the DE2-70 board in the audio codec chip, the use of project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21566708
    • 提供者:覃建策
  1. DE2_NIOS_HOST_MOUSE_VGA

    0下载:
  2. de2 usb画笔 VGA显示-de2 usb pen VGA display ..............................
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1619997
    • 提供者:吴鹏
  1. sdram_hr_hw_4port

    1下载:
  2. 这个是DE2上的SDRAM 四个端口的驱动代码,相当实用!-This is a four-port SDRAM on a DE2 driver code, very useful!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:98808
    • 提供者:晓枫
  1. MMC_SD_interface

    0下载:
  2. sd card interface in altera kit de2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2643
    • 提供者:linh nguyen duy
  1. Audio_Reader_Flash_DE2

    0下载:
  2. This an DE2 card software, which is able to read some Audio file from a memory (Flash for example). Extendable to read from a SD card, and to write on it.-This is an DE2 card software, which is able to read some Audio file from a memory (Flash for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:76731
    • 提供者:Minimus
  1. DE2_user_manual_cn.pdf

    0下载:
  2. altera de2中文手册:de2提供了实用altera cyclone 2开发高级数字产品需要的所有模块.此为对应用户指南,在30分钟里面,可以浏览许多参考设计.-de2 user manual
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5166252
    • 提供者:jl
  1. DE2jieshao

    0下载:
  2. DE2中文用户手册。对de2板的各个模块的一些介绍和管脚图-DE2 Chinese user manual. De2 board of some descr iption of each module and the pin map
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5674567
    • 提供者:lutangshi
  1. FPGA

    0下载:
  2. 本文采用FPGA来模拟实际的乒乓球游戏。本设计是基于Altera 公司的FPGA Cyclone II 芯片EP2C35 的基础上实现,运用Verilog HDL 语言编程,Quartus II 软件上进行编译、仿真,最终在Altera 公司的DE2 开发板上成功实现下载和调试-In this paper, FPGA to simulate the actual tennis game. The design is based on Altera' s FPGA Cyclone II EP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:183597
    • 提供者:李丽
  1. de2_70_air_hockey_game

    0下载:
  2. Verilog/VHDL project that implements a Air-Hockey game using a DE2-70 board and a LTM touch panel.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:386478
    • 提供者:jaime
  1. SDCARD-and-FAT-library-WITH-NIOS-II-FOR-DE2-70_s.

    0下载:
  2. SD-Card controller with FAT driver for a NIOS II in a DE2-70 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-07
    • 文件大小:3982336
    • 提供者:jaime
  1. DE2_115_Audio

    0下载:
  2. Demo Program for using Audio Port on DE2-115 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1918280
    • 提供者:ONG PENG SHEN
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com