CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - mips CPU

搜索资源列表

  1. signal_cpu_sort

    0下载:
  2. Use the verilog language write a MIPS CPU code, and have additional instruction, for example: selection sort instruction. The code has contain combination circuit and sequenial circuit. CPU have contain ALU, ADD, ALU_CONTROL, DATA_MEMORY, INST_ME
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:8960
    • 提供者:張大小
  1. mips_creative

    0下载:
  2. 一个完整的MIPS CPU,创新设计,浙江大学某学生作品,有完整的说明文档、仿真文件和测试文件,可以直接综合和仿真。-a complete MIPS CPU, innovative design, a student of Zhejiang University works with complete documentation, simulation and test documents, and can be directly integrated simulation.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1866979
    • 提供者:梁文锋
  1. mlite.tar

    0下载:
  2. Plasma IP Core 你可以利用这个组件在FPGA中设计MIPS结构的CPU -Plasma IP Core You can use this component in FPGA design the structure of MIPS CPU
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100364
    • 提供者:xinyang
  1. mips3

    0下载:
  2. modelsim+dc开发的4级流水线结构的MIPS CPU,完成基本的逻辑运算和跳转。测试程序为希尔排序,结果正确。
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:307462
    • 提供者:杨春
  1. ucosii_bsp_jz-20070808

    0下载:
  2. mips cpu 君正4730 4740的 ucosii 源码 包括系统 摄像头 网络 文件系统等等测试
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:461200
    • 提供者:will
  1. incaip

    0下载:
  2. 基于mips CPU,uboot下flash读与LCD显示程序。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:23762
    • 提供者:毛建平
  1. disk

    0下载:
  2. 基于mips cpu,在u-boot系统下磁盘驱动程序。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:20007
    • 提供者:毛建平
  1. MIPStest00

    0下载:
  2. 簡易MIPS CPU程式碼 此CPU包含 shift add sub and or stl beq lw sw 等功能
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:7280
    • 提供者:chen
  1. nandprog

    0下载:
  2. 君正MIPS CPU通用的boot loader源码,USB接口,学习nand flash编程和MIPS cpu 原理的好资料!通过nandprog将程序下载到君正的板子nand flash 里。-Jun MIPS CPU is a common source boot loader, USB interface, the learning nand flash programming and MIPS cpu principles of good information! By nandpro
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:542719
    • 提供者:allen
  1. ejtag-0.2

    0下载:
  2. mips e-jtag 加载uboot程序,实现直接控制cpu加载boot loader,无需事先烧写任何程序进flash-mips e-jtag loading uboot procedures to achieve direct control of the cpu load the boot loader, any program without prior programming into flash
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:444298
    • 提供者:jhon yi
  1. Project4

    0下载:
  2. This zipfile is composed of a bunch of MIPS codes that might be helpful to some people who are developing CPU
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:192451
    • 提供者:Wan Lee
  1. pipeline

    0下载:
  2. 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-11
    • 文件大小:3029212
    • 提供者:kevin
  1. CU

    0下载:
  2. mips指令控制器。fpga上板验证实现。为cpu课设重要模块-mips instruction controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5783
    • 提供者:dukenunee
  1. super_an218

    0下载:
  2. Using the 25 MIPS CPU and on-chip ADC, the C8051F300 can perform DTMF tone generation and decoding.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:101094
    • 提供者:Bondarev
  1. CPUsourcecode

    0下载:
  2. 本设计实现了一个具有标准的32位5级流水线架构的MIPS指令兼容CPU系统。具备常用的五十余条指令,解决了大部分数据相关,结构相关,乘除法的流水化处理等问题,并实现了可屏蔽的中断网络。-This design implements a standard 32-bit 5-stage pipeline architecture of MIPS instruction compatible CPU system. Instructions with more than 50 commonly use
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:93517
    • 提供者:李敏
  1. mips

    0下载:
  2. cpu---risc---mips源代码-cpu---risc---mips
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3293
    • 提供者:mhjohnson
  1. 32mips-cpu

    0下载:
  2. 基于32为MIPS指令设计的cpu,32 for the MIPS instruction based on the design of the cpu-32 for the MIPS instruction based on the design of the cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:407851
    • 提供者:罗宾
  1. CPU

    0下载:
  2. 基于32位MIPS流水线CPU,由自己独立完成,-Pipelined 32-bit MIPS-based CPU, by themselves independently,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8358326
    • 提供者:张朋
  1. MIPS-CPU

    0下载:
  2. 完整的32位MIPS处理器工程,拥有整个工程和doc文件说明-Full 32-bit MIPS processor works with the entire project and doc file descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1880382
    • 提供者:何鎏
  1. mips-cpu-master

    1下载:
  2. CPU设计,已通过模拟,有需要的自行下载吧(CPU design has been simulated)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-03
    • 文件大小:38912
    • 提供者:sak1tam
« 1 23 4 5 6 »
搜珍网 www.dssz.com