CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - random

搜索资源列表

  1. lab2B(4)LFSR

    0下载:
  2. 实现4位二进制随机数的产生的verilog代码(Implementation of generation random 4 bits code in verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:电聪骑风
  1. navigator_FloatTool

    0下载:
  2. wince 悬浮工具,支持自定义菜单,屏幕内随意拖动。(Wince suspension tools, support custom menus, random drag in the screen.)
  3. 所属分类:Windows CE

    • 发布日期:2018-04-22
    • 文件大小:4567040
    • 提供者:dolphing
  1. random_check

    0下载:
  2. 随机码流中的报文捕捉器,Verilog编写,本报文捕捉器用于记录报文中数字信号“1”的个数。当报文捕捉器检测到随机码流中出现“1101”的序列后,确认为报头,并开始对后续正式报文中的“1”进行计数,针对AX516系统开发板(A message trap in a random stream, written by Verilog, is used to record the number of "1" in a message. When the packet capture
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:975872
    • 提供者:wanwan000
  1. 20180125_5M_01

    0下载:
  2. 基于verilog产生伪随机二进制序列,序列速率为5M(A pseudo-random binary sequence based on verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:4717568
    • 提供者:沿途ing
  1. 31

    0下载:
  2. 系统由单片机、显示器等部分组成,利用显示器来显示密码锁输入的相关信息,密码输入正确则锁通过PM函数产生而为PM随机海面,产生模拟海面,进而进行计算模拟(The system is made up of single-chip microcomputer, display and other parts. It displays the information related to the input of the cipher lock by using the monitor. When th
  3. 所属分类:单片机开发

    • 发布日期:2018-04-23
    • 文件大小:9216
    • 提供者:guanglin12
  1. 通信原理_2ASK调制

    0下载:
  2. 基于单片机的2ASK调制与解调,输入信号为伪随机M序列(2ASK modulation and demodulation based on single chip microcomputer, the input signal is pseudo-random sequence)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-23
    • 文件大小:61440
    • 提供者:swust_hy
  1. 24C02 i2c

    0下载:
  2. 本程序用按键计数测试24C02随机读写程序,每次从0位开始读数据,读到标记位后返回变量,下次写入又从标记位写入,相当于写入一个8位数据在24C02的2个地址分别写入了一次,但可以充分利用24C02的256个地址(0-255),对24C02寿命有一定延长作用。24C02使用过一次之后可以删除初始化函数中的write_at24c02(255,0)语句。(Button count test 24C02 random read and write procedures for the program,
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-30
    • 文件大小:31744
    • 提供者:HeYT
  1. at7_ex04

    0下载:
  2. 通过LED闪烁控制器的代码,使用Vivado工具配置定义一个IP核,在用户工程中可随意添加这个IP核作为设计的一部分,如同Vivado自带的IP核一样方便调用和集成。(Through the code of the LED scintillation controller, the Vivado tool is configured to define a IP core, and the IP kernel can be added as part of the design at rando
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1833984
    • 提供者:24fh
  1. 16QAM

    0下载:
  2. 可以实现随机序列和16QAM的仿真,verilog语言编程,modelsim和QUARTUS联合仿真(It can realize the simulation of random sequence and 16QAM, Verilog language programming, Modelsim and QUARTUS co simulation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:5567488
    • 提供者:vincent-7
  1. PROJECT4

    0下载:
  2. 设计一个简易数字信号传输性能分析仪,实现数字信号传输性能测试;同时,设计三个低通滤波器和一个伪随机信号发生器用来模拟传输信道。(A simple digital signal transmission performance analyzer is designed to test the transmission performance of the digital signal. At the same time, three low-pass filters and a pseudo-ra
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:2989056
    • 提供者:沉桦三
  1. m4

    0下载:
  2. 小m4伪随机码产生+AMI编码 电路图形式,两者可分开(produce pseudo-random code,and then get it into AMI code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-06
    • 文件大小:129024
    • 提供者:光暗相间
  1. 16qam

    0下载:
  2. 一个16QAM数字调制电路,包括时钟生成电路,m伪随机序列生成电路,串并转换电路,电平映射电路、载波信号发生电路、ASK幅度调制电路及加法器(A 16QAM digital modulation circuit, including clock generation circuit, m pseudo-random sequence generation circuit, serial parallel conversion circuit, level mapping circuit, car
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2020-10-19
    • 文件大小:2685952
    • 提供者:独行的云
  1. WS2812B(PWM+DMA)

    2下载:
  2. WS2812B全彩LED灯,采用PWM+DMA方式控制,已经编写好红色呼吸灯、绿色呼吸灯、蓝色呼吸灯和随机呼吸灯程序,还有最基本的RGB三种颜色的0~255亮度调节函数。(Ws2812b full-color LED lamp is controlled by PWM + DMA mode. The program of red breathing lamp, Green Breathing lamp, blue breathing lamp and random breathing lamp
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-06-13
    • 文件大小:7553024
    • 提供者:毅0623
  1. 0-999随机数

    3下载:
  2. 1. 设计并实现一个随机数生成电路,每2秒随机生 成一个0~999之间的数字,并在数码管上显示 生成的随机数。 2. 为系统设置一个复位键,复位后数码管显示 “000”,2秒后再开始每2秒生成并显示随机 数,要求使用按键复位。(1. Design and implement a random number generating circuit, which generates randomly every 2 seconds Form a number between 0 and 999 an
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-13
    • 文件大小:4747264
    • 提供者:Minbadly
« 1 2 ... 21 22 23 24 25 26»
搜珍网 www.dssz.com