CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - register

搜索资源列表

  1. register reallocation

    0下载:
  2. 关于寄存器重命名register reallocation,VHDL-Register on rename register reallocation, VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:121641
    • 提供者:咱航
  1. shift_register.用Verilog实现的移位寄存器

    1下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能,Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2967
    • 提供者:huhahuha
  1. IAR-register-program-codes

    0下载:
  2. IAR 寄存器编程代码,包括ADC,自动唤醒,蜂鸣器,时钟,flash,I2C,SPI,Uart,等部分的程序。-IAR register program codes, include ADC,AWU,beep,clock,flash,I2C,SPI,Uart,and so on...
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:280257
    • 提供者:翔宇
  1. STM8S_register

    0下载:
  2. STM8的中文版寄存器参考手册。详细介绍了STM8各寄存器该如何配置。-The Chinese version of the register STM8 Reference Manual. Described in detail how to configure the register STM8.
  3. 所属分类:SCM

    • 发布日期:2016-01-25
    • 文件大小:3806208
    • 提供者:jz
  1. Sequence-detector-design

    1下载:
  2. 序列检测器设计的思路大多都是用FSM来实现的,此思路是通过移位寄存器来实现序列检测-Sequence detector design ideas are often used to achieve the FSM, the idea is to achieve through the shift register sequence detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:31189
    • 提供者:lsp
  1. cc2500-register-settings

    0下载:
  2. cc2500寄存器的设置,对cc2500开发中最重要的工作寄存器进行了设置。-cc2500 register settings
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:8761
    • 提供者:李立
  1. 56500-PR100-RDS

    0下载:
  2. Programmer’s Register Reference Guide BCM565-Programmer s Register Reference GuideBCM565
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:2461967
    • 提供者:akuan
  1. HDB3

    0下载:
  2. 用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试 -Using verilog HDL language, through a 4-bit shift register realization of a signal into HDB3 code and test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:940
    • 提供者:
  1. R

    0下载:
  2. 双向移位寄存器的原理设计程序,对于初学者将会有很大帮助,尤其在设计功能比较复杂的FPGA时,有些问题其实用这个就很简单-The principle of bi-directional shift register the design process, for beginners there will be a great help, especially in the design features of the FPGA more complex, there are some proble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2628
    • 提供者:lijq
  1. NewMsg-RF2500

    0下载:
  2. 用C语言开发环境,对CC2500的寄存器配置程序-Using C language development environment, the register of CC2500 configuration procedures
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:514360
    • 提供者:朱军
  1. temp

    0下载:
  2. 注意:只有C代码。串行驱动led显示,一个74hc595位移寄存器驱动三极管驱动led位,两个74hc595驱动led段,方式位5位x8段x2=10个数码管5分频,每次扫描时间位1.25ms-Note: Only C code. Serial driver led display, a shift register 74hc595 drive transistor drive led, and led two drives 74hc595 paragraph, ways x8 paragraph
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:3093
    • 提供者:wilsonhuang
  1. ShiftRegister

    0下载:
  2. Shift register verilog code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:556
    • 提供者:selcuk
  1. scj

    0下载:
  2. 税控收款机源码:拼音输入法,LCD,VFD驱动,IC卡驱动,税控国标-Fiscal Cash Register Source: Pinyin input method, LCD, VFD drives, IC card driver, Tax GB
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:260248
    • 提供者:林立生
  1. shiftregister

    0下载:
  2. Shift Register. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1193
    • 提供者:mehmet
  1. UniversalRegister

    0下载:
  2. 普通的缓冲器 这种设计是一个普通的缓冲器,可以做一个直接的缓冲器,也可以做一个双向的转移缓冲器,还可以做一个递增的计数器和递减计数器-Universal Register This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:953
    • 提供者:杜翔
  1. register

    0下载:
  2. it is source code of 32 bit register and testbench for tht register written in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:12953
    • 提供者:bhaskar
  1. mux_reg

    0下载:
  2. VHDL code for a multiplexer and a parallel/serial in parallel/serial out shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:758
    • 提供者:Davood
  1. shift_register

    0下载:
  2. shift register it is shifte register for vhdl coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:201700
    • 提供者:han
  1. register-vcode

    0下载:
  2. shift register verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:6071
    • 提供者:praveen
  1. Shift-register

    0下载:
  2. 两种移位寄存器——通用和桶形移位寄存器,用硬件描述语言Verilog编写,适合初学者。-Two kinds of shift register- common and barrel shift register in Verilog hardware descr iption language, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1569
    • 提供者:李菲
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com