CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - sequence detector fsm

搜索资源列表

  1. Sequence-detector-design

    1下载:
  2. 序列检测器设计的思路大多都是用FSM来实现的,此思路是通过移位寄存器来实现序列检测-Sequence detector design ideas are often used to achieve the FSM, the idea is to achieve through the shift register sequence detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:31189
    • 提供者:lsp
  1. fsm

    0下载:
  2. Sequence detector "1100101101" using FSM(Finite State Machine) in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:401818
    • 提供者:Aaqib
  1. FSM

    0下载:
  2. 序列检测器,采用有限状态机实现,检测特定序列“101011”- Sequence detector, finite state machine, detection of a specific sequence 101011
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:990
    • 提供者:赵健
  1. FSM

    0下载:
  2. 序列检测器,采用移位寄存器实现,检测特定序列“101011”-Sequence detector using a shift register implementation, detection of a specific sequence 101011
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:914
    • 提供者:赵健
  1. fsm

    0下载:
  2. verilog语言,有限状态机实现的序列检测器-verilog language, finite state machine sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1061
    • 提供者:
  1. Sequence-Detector

    0下载:
  2. 序列检测器,开写为两个always语句,即为两段式有限状态机。将组合部分中的判断状态转移条件和产生输入再分开写,则为三段式有限状态机。 二段式在组合逻辑特别复杂时适用,但要注意需在后面加一个触发器以消除组合逻辑对输出产生的毛刺 。三段式描述方法虽然代码结构复杂了一些,但是换来的优势是:使FSM做到了同步寄存器输出,消除了组合逻辑输出的不稳定与毛刺的隐患,而且更利于时序路径分组,一般来说在FPGA/CPLD等可编程逻辑器件上的综合与布局布线效果更佳。-Sequence Detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3490004
    • 提供者:xxl
  1. FSM two sequence

    0下载:
  2. FSM sequence detector
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:4096
    • 提供者:mgvayada
搜珍网 www.dssz.com