CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - vhdl project

搜索资源列表

  1. stopwatch.rar

    0下载:
  2. 秒表可计时,用VHDL编译的源代码,从0.1到60秒计时,解压后直接用Quartus打开project即可,Stopwatch timer can be used to compile the VHDL source code, from 0.1 to 60 seconds from time, after extracting the direct use of Quartus can open the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:578005
    • 提供者:xie
  1. 典型实例10.8 字符LCD接口的设计与实现

    0下载:
  2. 典型实例10.8 字符LCD接口的设计与实现 软件开发环境:ISE 7.1i 硬件开发环境:红色飓风II代-Xilinx版 1. 本实例控制开发板上面的LCD的显示; 2. 工程在\project文件夹里面 3. 源文件和管脚分配在\rtl文件夹里面 4. 下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。,Typical examples of character LCD interface 10.8 The Des
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:313607
    • 提供者:王磊
  1. SRAM.rar

    0下载:
  2. 瑞芯科技EFX400SL开发板上使用SRAM的工程源码,Rockchip EFX400SL the development of science and technology the use of SRAM on-board source of project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1109733
    • 提供者:曹晶
  1. T6_SRAM.rar

    0下载:
  2. SRM读写检验的程序,是红色飓风EP1C6板子上面的例程,SRM s read and write project.it s the example project of redlogic s EP1C6 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1547351
    • 提供者:汪东
  1. VHDL语言实现的arm内核

    1下载:
  2. 5个ram核,arm6_verilog,arm7_verilog_1,arm7_VHDL,Core_arm_VHDL,nnARM01_11_1_3 arm6_verilog.rar 一个最简单的arm内核,verilog写的,有点乱 arm7_verilog_1.rar J. Shin用verilog写的arm7核心,结构良好,简明易懂 nnARM01_11_1_3.zip.zip nnARM开源项目,国防科技大学牛人ShengYu Shen写的,原来放在opencores上,
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-29
    • 文件大小:1152489
    • 提供者:YeZiqiang
  1. DUC.rar

    3下载:
  2. 基于XILINX ISE下的数字上变频设计,其中用到了XILINX的乘法IP。已经通过工程实用,好用。,XILINX ISE based on frequency of figure design, use one of the XILINX multiplication IP. Has passed the project practical, easy to use.
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-03
    • 文件大小:18513
    • 提供者:咚咚
  1. SRAM

    0下载:
  2. 使用方法: SRAM编程,拷贝到硬盘,用ISE打开工程文件即可-Usage: SRAM programming, copied to the hard drive, open the project file with ISE can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:10202
    • 提供者:yhz
  1. canbus

    0下载:
  2. CAN总线的FPGA实现,用Verilog编写,代码完整,而且有很完善的测试代码,用ISE直接打开,学习FPGA进阶的好项目-CAN Bus FPGA, written with Verilog, code integrity, but also very good test code, using ISE directly open, a good project to learn advanced FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:862994
    • 提供者:张小琛
  1. fpga-dm9000a

    4下载:
  2. 一个项目工程,硬件包含XINLINX FPGA,配置FLASH,串口,SDRAM,与以太网芯片DM9000A,实现数据采集,以太网传输,电路验证完全正确,请放心使用,SPARTAN 3E 的BGA引脚320个,不容易布板,可以参考使用的。要FPGA实现网络通信也可以参考电路,B因为产品升级了所以公开原来的电路的。 -A project engineering, hardware contains XINLINX FPGA, configuration FLASH, serial port, SD
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-26
    • 文件大小:915005
    • 提供者:rong
  1. GPS.RAR

    2下载:
  2. 本工程包含了一个GPS接收机的基带处理模块,包括信号捕获和跟踪、电文解调等-The project includes a GPS receiver baseband processing modules, including signal acquisition and tracking, message demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-16
    • 文件大小:82944
    • 提供者:chenqiang
  1. YCbCr_RGB_10bit

    0下载:
  2. YCbCr 转 RGB模块,以应用于项目中。 该模块可将10bitYCbCr分量视频转换为12bitRGB视频,需消耗乘法器。-YCbCr turn RGB module, to apply to the project. The module can be 10bitYCbCr component video converted to 12bitRGB video, need to consume multiplier.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1078
    • 提供者:张曦
  1. AssignmentP3

    0下载:
  2. Assignment 3 Construct VHDL models for 74-139 dual 2-to-4-line decoders using three descr iption styles, i.e., behavioral, dataflow and structural descr iptions. (1) Synthesize and (2) simulate these models respectively in the environment of Xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:141090
    • 提供者:魏攸
  1. EP1C3_12_5_RSV

    2下载:
  2. 基于FPGA的数字存储示波器,用VHDL实现的,压缩包里是Quartus工程。AD采样送进FPGA,存入SRAM后用DA在普通示波器上可以显示。-FPGA-based digital storage oscilloscope, using VHDL achieved compression is Quartus project bag. AD sample into FPGA, after SRAM into DA in ordinary oscilloscope can display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61189
    • 提供者:deadtomb
  1. led_water

    0下载:
  2. Altera FPGA流水灯工程文件Verilog语言代码,作为入门级的参考程序-Altera FPGA Verilog flow light project files language code, as the entry-level reference program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:234462
    • 提供者:kiling
  1. project-1

    0下载:
  2. this a project design and its report of DESIGN AND IMPLEMENTATION OF LOGIC FUNCTIONS FOR DSP APPLICATIONS USING VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:650009
    • 提供者:sujatha
  1. Project-Final-Requirements

    0下载:
  2. that a VHDL code with comparison between CLA and CRA adders modlism project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:566110
    • 提供者:guctiida
  1. GPC-project

    0下载:
  2. 16 bit general purpose computer with VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:106246
    • 提供者:saurabh
  1. project

    0下载:
  2. VHDL PROJECT FOR TAXI METER TARIFF CHANGING AND TIME AND PAYMENT CALCULATION
  3. 所属分类:VHDL-FPGA-Verilog

  1. Elevador

    0下载:
  2. Elevator - VHDL Project
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:242688
    • 提供者:HotavioH
  1. DCD project

    0下载:
  2. vhdl code for 4 bit alu
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:4096
    • 提供者:tadeve
« 1 23 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com