CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - vhdl project

搜索资源列表

  1. FPGAMP3_LUKA_Project_Proposal

    0下载:
  2. The goal of this project is to design a MPEG Layer III (MP3) player using a FPGA board. The FPGA will read MP3 source files, decode them into a 16-bit Pulse Code Modulated (PCM) output, and play the audio files through an external speaker.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:155264
    • 提供者:Amol/justamol
  1. uart_transceiver

    0下载:
  2. 一个通用串口通信FPGA程序。大家可以借鉴-a uart FPGA pragram.you can modify and use it in your project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1280
    • 提供者:hejiao
  1. RS_232

    0下载:
  2. VHDL实现RS232串口通信,压缩包内有完整的quartus2工程,由顶层,波特率,发送,接收四个模块构成。外部电路只需要一片MAX232就能与串口助手或单片机通信。-VHDL implementation of RS232 serial communication, compressed within a complete quartus2 project from the top, baud rate, send, receive four modules. External circui
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:403598
    • 提供者:徐博
  1. VHDL100

    0下载:
  2. VHDL的工程100道实例,内容比较好,适合初学者,上课老师的讲稿,通俗易懂!给大家分享下-Examples of VHDL project 100, the content is better for beginners, school speech teacher, easy to understand! To share with you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:220524
    • 提供者:hello
  1. Project-Clock-plus-alarm

    0下载:
  2. 实现超多功能数字钟的vhdl硬件实现,可以实现校时校分闹铃,多模切换,多模同时工作-Ultra-versatile digital clock vhdl hardware implementation can be achieved when the school hours the school alarm, multi-mode switching, multi-mode simultaneously
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:531954
    • 提供者:Ivan Kwan
  1. de2_70_air_hockey_game

    0下载:
  2. Verilog/VHDL project that implements a Air-Hockey game using a DE2-70 board and a LTM touch panel.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:386478
    • 提供者:jaime
  1. uart-

    0下载:
  2. 通用异步通讯UART的工程文档,ISE打开工程,里面有VERILOG的源代码,可以编译通过-UART Universal Asynchronous communication engineering documents, ISE open the project, which has VERILOG source code can be compiled
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:30777
    • 提供者:mike
  1. LCD-display

    0下载:
  2. fpga的键盘阵列LCD显示程序,包括vhdl文件,顶层文件和工程文件-fpga array of keyboard LCD display procedures, including vhdl files, top-level files and project files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:549292
    • 提供者:zhouhengjun
  1. ADSP2011Local

    0下载:
  2. pci9054芯片本地总线控制示例程序,可用于pci驱动和应用程序的测试。每隔一段时间产生一次中断,产生1,2,3等递增数据,配合pci9054驱动和应用程序完成数据传输 2.说明:文件夹内是Quartus 9.0的工程文件,使用Verilog语言。-pci9054 local bus control chip sample program can be used for pci driver and application testing. Generate an interrupt at r
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4899840
    • 提供者:
  1. VHDL-Project

    0下载:
  2. Design of a Moore Synchronous Sequential Machine that operates according to the following two sequences.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:58666
    • 提供者:Nandini
  1. adfmreceiver

    0下载:
  2. The design of the All Digital FM Receiver circuit in this project uses Phase Locked Loop (PLL) as the main core. The task of the PLL is to maintain coherence between the input (modulated) signal frequency,iωand the respective output frequency,oωvia p
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:658029
    • 提供者:vijay
  1. working_code

    0下载:
  2. rs 485 working code for project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4254
    • 提供者:sandhya
  1. vhdl

    0下载:
  2. 基于vhdl的串行扫描显示电路设计,打开工程文件就可实现,并提供下载文件。-Vhdl serial scan based circuit design, open the project file can be achieved and provides download the file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:401243
    • 提供者:ydp
  1. project

    0下载:
  2. It provides the code of or and decoder24 and encoder42 in VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1487
    • 提供者:basha
  1. VHDL

    0下载:
  2. 基于FPGA的IIR滤波器的各模块VHDL程序- such as in science and project technique. Compared with FIR digital filter, IIR digital filter can get high selectivity with low factorial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:685
    • 提供者:许成
  1. Verilog-Niosii-TLC1549

    0下载:
  2. niosii的一个完整的工程 Q2 软件是9.1版本,里面做了一个TLC1549的AD转换串转并的模块-niosii project with a TLC1549 module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10961697
    • 提供者:fu
  1. TrafficLight

    0下载:
  2. VHDL开发的数字交通灯控制器,项目实训内容;-The development of digital traffic light controller VHDL, project training content
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1218224
    • 提供者:opwt
  1. dc3and8

    0下载:
  2. 3-8译码器VHDL工程源代码,含工程、VHDL源码、下载文件等-3-8 decoder VHDL project sourcecode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:266201
    • 提供者:Smile Nick
  1. counter

    0下载:
  2. this source is a counter vhdl project :)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2003
    • 提供者:kossan anna
  1. ProjectLoto

    0下载:
  2. VHDL Project for a loto application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:9737
    • 提供者:Amrida
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 20 »
搜珍网 www.dssz.com