CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 随机数

搜索资源列表

  1. 八位的伪随机数产生的verilog文件

    0下载:
  2. 八位的伪随机数产生的verilog文件linear-feedback-shift-register-eight pseudo-random number generator in Verilog document linear-feedback - shift-register
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1837
    • 提供者:陈正一
  1. RandomNumberGenerators

    0下载:
  2. Random Number Generators(随机数生成)包括gaussian random number generator、uniform random number generator、low-frequency hold generator、1/f noise generator等5种随机信号生成的c源代码-Random Number Generators (Random Number Generation), including Gaussian random number gen
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:2447
    • 提供者:刘嘉焜
  1. E35G23_UCOS2_UcGUI

    0下载:
  2. 豪华板中E35G23的UCOS2+UcGUI图形系统2.76,UCOS_II测试程序说明,由hgxxx的ucosii2.71升级而来的!去掉了TaskLcd running显示,把这个任务作为GUI显示输出,类似于UCOS的例子1,在一个随机的位置显示一个随机数,只是允许一个任务调用GUI,没有显示cpu利用率、切换次数等信息!注意:~本程序适用于240×320 16级灰度液晶!去掉了TaskLcd running显示,把这个任务作为GUI显示输出,类似于UCOS的例子1, -luxury
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:717456
    • 提供者:等到
  1. randomicity

    0下载:
  2. 8位单片机很多地方需要随机数,比如游戏的洗牌,可在timer中取数,但是随机数质 量不高。随机数是一个既简单又复杂的问题,这里的例子使用了众所周知的线性叠加法,没 有完美的方法产生随机数,不过线性叠加法是一个合适的方法,彻底解决8位机随机数的问 题。-eight SCM need many random numbers, such as the shuffling game, in which a few timer. But random quality is not high.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9322
    • 提供者:王宝成
  1. Random_Number_generator

    0下载:
  2. 此代码用于产生系统设计仿真阶段需要的仿真数据,运行的结果是一系列随机数。编译后可生成数据产生模块,在其他工程中之间调用之作为数据输入即可,对vhdl涉及仿真有一定的帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36189
    • 提供者:王弋妹
  1. C51-SJSCS

    0下载:
  2. 用C51实现随机数产生,在单片机加密中会有用!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5211
    • 提供者:zhang
  1. rng

    0下载:
  2. verilog编写随机数产生源程序,在硬件电路设计中应用广泛。本程序是在LFSR and a CASR 基础上实现的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:94308
    • 提供者:Alex
  1. 设备驱动程序

    0下载:
  2. 一个简单驱动程序,当某个应用程序读取这个装置的时候,可以读取到连续的随机数或者是0
  3. 所属分类:嵌入式Linux

  1. poison.rar

    0下载:
  2. 自己编写的产生泊松分布的随机数源程序 内涵所需头文件,I have written have a Poisson distribution of random numbers required for source code header files connotation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:161729
    • 提供者:徐霞
  1. 用VHDL生成伪随机数

    0下载:
  2. 用VHDL生成伪随机数,资源占用少,最高频率可达200MHz
  3. 所属分类:VHDL编程

    • 发布日期:2012-09-28
    • 文件大小:884
    • 提供者:al00ok@126.com
  1. Trlation

    0下载:
  2. 城市交通仿真中的随机数及其性能评价Traffic Simulation-Urban Traffic Simulation and performance evaluation of random numbers
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1060881
    • 提供者:pai888
  1. ex84

    0下载:
  2. 在89C51上用1602LCD显示一随机数的源程序。和下面的ex84-1仿真配套。-1602LCD used in the 89C51 showed the source of random numbers. And the following package ex84-1 simulation.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2669
    • 提供者:王其明
  1. ex84-1

    0下载:
  2. 在89C51上用1602LCD显示一随机数的仿真。是上面的ex84程序在89c51上的仿真实例-89C51 used in the display of a random number 1602LCD simulation. Ex84 above procedure is in the simulation example 89c51
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:48126
    • 提供者:王其明
  1. 随机数显示实验

    0下载:
  2. CC2530产生随机数 需要种子 产生随机数(CC2530 generates random numbers that require seeds to generate random numbers)
  3. 所属分类:微处理器开发

    • 发布日期:2017-12-24
    • 文件大小:46080
    • 提供者:John_Max
  1. 8.3随机数产生器

    0下载:
  2. 随机数产生器 nRF24LE1 嵌入式单片机编程 51 C语言(Random number generator, nRF24LE1, embedded microcontroller programming, 51 C language)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:29696
    • 提供者:iM96
  1. Random_creat_2017

    0下载:
  2. 产生8bit随机数,采用线性反馈移位寄存器(The 8bit random number is generated by using linear feedback shift register)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:101376
    • 提供者:haha40508
  1. pseudo_random

    1下载:
  2. 基于vivado Verilog的伪随机数发生器,采用LFSR算法,并对其进行了升级,使用反馈级联的思想,从最大周期为2^n提升为原来的3-5倍(Based on vivado Verilog pseudo random number generator, using LFSR algorithm, and upgrade it, using the idea of feedback cascade, from the maximum cycle of 2^n to 3-5 times the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1889280
    • 提供者:9901tzh
  1. 5.随机数显示实验

    0下载:
  2. 随机数显示实验,适合初学者学习,包含学习文档(Random number display experiment, suitable for beginners)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-11
    • 文件大小:49152
    • 提供者:散斑
  1. 基于FPGA自治混沌网络量化真随机数代码

    1下载:
  2. 基于FPGA自治布尔混沌网络,量化真随机数。可通过例化多组网络,产生高带宽真随机数,根据FPGA性能,自重随机数带宽达数G.
  3. 所属分类:VHDL编程

  1. 0-999随机数

    3下载:
  2. 1. 设计并实现一个随机数生成电路,每2秒随机生 成一个0~999之间的数字,并在数码管上显示 生成的随机数。 2. 为系统设置一个复位键,复位后数码管显示 “000”,2秒后再开始每2秒生成并显示随机 数,要求使用按键复位。(1. Design and implement a random number generating circuit, which generates randomly every 2 seconds Form a number between 0 and 999 an
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-13
    • 文件大小:4747264
    • 提供者:Minbadly
« 12 3 4 5 6 »
搜珍网 www.dssz.com