CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 个人

搜索资源列表

  1. 路口交通灯

    0下载:
  2. 个人硬件课程设计,简单实现了FPGA平台的路口交通灯管理,开发环境为MAX+plus-individual hardware curriculum design, a simple realization FPGA platform junction traffic lights management, development environment for MAX plus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:118919
    • 提供者:张宵
  1. clock_top2

    0下载:
  2. 数字钟的vhd文档,个人感觉还是蛮完善的,大家可以下载了一同改进。-figures minute vhd files, individuals still feel pretty good, we can improve downloaded together.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2326
    • 提供者:john
  1. serial_produce

    0下载:
  2. 设计一个能够自启动的24-1的伪随机码(111101011001000)发生器。 设计一个序列信号发生器,产生一个011100110011序列码。 实现序列1110100。测试序列码波形 个人比较欣赏第二种方法 -to design an 24-1 since the start of the pseudo-random number (111101011001000) generator. Design of a signal sequence generator to pro
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:53583
    • 提供者:那锋
  1. ticketssellmachinetext

    0下载:
  2. 实现一个地铁的自动售票系统,我们短学期的个人项目
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:1407
    • 提供者:john
  1. sine

    1下载:
  2. 用VerilogHDL实现的产生Sine波形全部程序 个人验证后收藏的。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3567198
    • 提供者:孙浩
  1. VHDL_Programming_Examples

    0下载:
  2. vhdl例程,给出了许多VHDL例程,有参考价值,个人认为
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:172905
    • 提供者:辜小兵
  1. VHDL_Programming_Examples_2

    0下载:
  2. vhdl例程,给出了许多VHDL例程,有参考价值,个人认为,刚才上载的第2部分。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169417
    • 提供者:辜小兵
  1. watch

    0下载:
  2. 基于CYCLONG II的自己编的电子时钟.早期作品了,可能这方面的资料也比较多,但是个人思路不同,希望我的程序能给朋友们提供些须帮助.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:258873
    • 提供者:Yin
  1. cpld-clock

    0下载:
  2. VHDL语言编写的时钟显示代码,简短而又易懂,个人觉得很不错
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1267
    • 提供者:王盗大
  1. vhdl-多功能电子表

    1下载:
  2. 这是一个用vhdl编的多功能电子秒表,可以记录几个人的时间,并且可以在跑秒的时候查看记录。。〔原创〕-This is a series with VHDL multifunctional electronic stopwatch, can be recorded by several people, and that they could run in the second examined the records. . [Original]
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5527
    • 提供者:王继东
  1. LPM 个人整理了一些quartus II 中常用的宏模块

    0下载:
  2. 个人整理了一些quartus II 中常用的宏模块,里面有他们的功能介绍,希望对大家有用。-Individuals compiled some commonly used macros quartus II module, which have their functional descr iption, want to be useful.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:2433
    • 提供者:杜维轩
  1. MiniStep.rar

    1下载:
  2. XC95144步进电机驱动器源码,采用verilog vhdl开发,个人原创,XC95144 stepper motor drive source, using verilog vhdl development, personal originality
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:4851377
    • 提供者:王明新
  1. KCPSM3.rar

    1下载:
  2. 这个是在网上下载的picoblaze的资料,里面有些我自己写的使用方法,现在把它上传给大家。如果有需要的可以下载。个人感觉这个8位的软核开发起来有点麻烦,但是使用起来还是很好用的。对于其中的代码,归原作者所有。,This is the picoblaze downloading information, which some use to write my own methods, now upload it to you. If there is a need can be downloade
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12868966
    • 提供者:古月
  1. RTL

    0下载:
  2. 256位有符号整数乘法器,个人学习时编写,接口为IPBUS,用verilog语言编写-256-bit signed integer multiplier, when writing individual learning, the interface IPBUS, with verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2918
    • 提供者:
  1. current_measurement

    0下载:
  2. 这是一个实现了无刷直流电机闭环控制电流环检测的程序,一起还有滤波器的使用。性能良好。为个人原创-This is a realization of the closed-loop control of brushless DC motor current loop detection procedure, also with the use of filters. Good performance. Be original
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10376974
    • 提供者:steef
  1. VHDLDATACLOCK

    1下载:
  2. 本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活带来极大的方便。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路的能力。-VHDL dataclock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:774086
    • 提供者:li
  1. Digital_signal_processing_with_FPGA

    0下载:
  2. 个人觉得对C的学习者有很大的帮助,是我收藏的经典之作,希望对大家有所帮助-Personally feel that for C learners be very helpful to my collection of classic, I hope all of you to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7065919
    • 提供者:van_adams
  1. Pentium

    0下载:
  2. 这两个分别是8位乘法器的VHDL语言的实现,并经过个人用QUARTUS的验证,另外一个是奔腾处理器的设计思想-The two were 8 multiplier realization of VHDL language and personal use Quartus After verification, another is a Pentium processor design idea
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:379225
    • 提供者:citydremer
  1. environment

    1下载:
  2. VHDL开发环境,四人抢答器,实现了四个人能同时抢答的功能。-VHDL development environment Answer four, and the realization of the four functions at the same time Answer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:827804
    • 提供者:王蕊
  1. Cordic

    0下载:
  2. CORDIC算法参考,可用,无错,原理可在百度百科找到,个人收藏 -Reference CORDIC algorithm can be used, no right or wrong, the principle can be found in Baidu Encyclopedia, personal collection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:271683
    • 提供者:zhaocheng
« 12 3 4 5 »
搜珍网 www.dssz.com