CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 信号量

搜索资源列表

  1. work6ADCINT

    0下载:
  2. ADC0809采样控制电路的实现ADC0809是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中,转换时间约100us。主要控制信号有,START是转换启动信号,高电平有效。ALE是3位通道选择地址(ADDC、ADDB、ADDA)信号的所存信号。当模拟量送至某一输入端(如IN1或IN2),由3位地址信号选择,而地址信号由ALE锁存。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29264
    • 提供者:lkiwood
  1. yinpin

    0下载:
  2. 这是全国一等奖作品音频信号分析仪的FPGA源码,该设计采用FFT的设计方法,其中FFT利用IPcore,采用的是burst流型的,减少了计算量,保证了频谱更新及时。-signala analysis by FPGA,by FFT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2041669
    • 提供者:林铭团
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
  1. FPGArealiztionofdigitalsignalprocessing

    0下载:
  2. 数字信号处理FPGA实现 实用程序和文件,有sine.exe ---输入宽度。输出对应的正弦波表 mif文件 csd.exe --- 寻找整数和分数的标准有符号数字量(canonical signed digit ,CSD)表达式程序 fpinv.exe --- 倒数计算浮点数表的程序 dagen.exe ---分布式算法文件生成HDL" onclick="tagshow(event)" class="t_tag">VHD
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-06
    • 文件大小:260196
    • 提供者:kevin
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. step_motor

    0下载:
  2. 步进电机是一种能够将电脉冲信号转换成角位移或线位移的机电元件,它实际上是一 种单相或多相同步电动机。单相步进电动机有单路电脉冲驱动,输出功率一般很小,其用途 为微小功率驱动。多相步进电动机有多相方波脉冲驱动,用途很广。使用多相步进电动机时, 单路电脉冲信号可先通过脉冲分配器转换为多相脉冲信号,在经功率放大后分别送入步进电 动机各相绕组。每输入一个脉冲到脉冲分配器,电动机各相的通电状态就发生变化,转子会 转过一定的角度(称为步距角)。正常情况下,步进电机转过的总角度和
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:951
    • 提供者:ken
  1. zhiliqiangdaqi

    0下载:
  2. 题目要求设计一个用于智力竞赛的抢答计时器。主持人按下启动钮,开始抢答,参赛方(八方)看到允许抢答信号后分别按不同的抢答按钮参与抢答。一旦其中一方按下按钮,相应的抢答者编号显示在屏幕上,此时若其他按钮按下均无效。若无一人按下按钮,则抢答结束(以后按抢答无效)。③ 若温度值越界则进行声(蜂鸣器)、光(发光二极管)报警; 说明:界限值是自行设定的(26C),其所对应的数字量为十六进制数(0180H)。 (2)使用DS18B20采集温度,采用七段数码管显示当前温度和剩余时间,并和设置的温度进行比较。 (
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:69587
    • 提供者:zhan
  1. FPGA-and-DSP-based-on-the-Bayer-format-image-pre-p

    0下载:
  2. 在图像实时处理的过程中,下层图像预处理的数据量大,运算简单,但是要求运算速率高,可以用FPGA硬件来处理,上层所处理的数据量少,算法结构复杂,适于运算速度快,寻址灵活的DSP数字信号处理器进行处理。该系统充分发挥了FPGA和DSP各自的优势,能更好地提高图像处理的实时性,降低成本。 -Real-time processing in the image process, the lower the amount of data preprocessing, simple operation,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:97095
    • 提供者:汪江
  1. abbr_564dd181

    0下载:
  2. 数据采集和控制系统多种多样,但其基本工作过程相似:汇集被测控对象各种被测模拟量,把它们转换为数字信号,经过加工处理后,再转换成相应的模拟量,实现所需的控制。上述过程由数据采集控制器统一管理和调度。-Data acquisition and control systems are diverse, but similar to the basic work process: collection of various objects being tested analog measurement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:27436
    • 提供者:李佳悦
  1. boxing

    0下载:
  2. 设计实验线路并编写程序,实现数字信号到模拟信号的转换,输入数字量由程序给出。产生方波和三角波和正弦波,并用示波器观察输出模拟信号的波形-Design the line and program, realize the digital signal to the analog signal conversion, enter the digital quantity are given by the program. Produce square wave and triangle wave an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1410
    • 提供者:浅微
  1. 利用簇模拟汽车控制

    5下载:
  2. 利用labview编程: 6. 利用簇模拟汽车控制,如右图所示,控制面板可以对显示面板中的参量进行控制。油门控制转速,转速=油门*100,档位控制时速,时速=档位*40,油量随VI运行时间减少。 注意:档位为整数,油量减少速度与档位有关。 7.1 利用随机数发生器仿真一个0到5V的采样信号,每200ms采一个点,共采集50个点,采集完后一次性显示在Waveform Graph上。 7.2 在上题的基础上再增加1路电压信号采集,此路电压信号的范围为5到10V,采样间
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-21
    • 文件大小:36382
    • 提供者:Haibin Zhang
  1. cnt10

    0下载:
  2. 十进制计数器 只使用信号量 非使用变量 -failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:19720
    • 提供者:wujae
  1. func_gen

    0下载:
  2. 实现一个简易函数信号发生器的功能。系统上电后,默认输出低电平(无波形),默认初始频率为1KHz。波形输出频率可由开发板上的拨码开关SW0~SW3调节,可调范围为1KHz到2KHz,步进量为100Hz。波形由开发板上的DAC_A口输出。共有方波和三角波,正弦波三种波形可供选择,用户只需将开发板上的拨码开关SW6~SW7置成不同取值组合,就可以输出不同波形。其中方波的占空比可以用开发板上的按键开关BTN0~BTN1调节。系统重置按键为开发板上的按键开关BT7。另外,实验验收后我继续加入了锯齿波输出的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:89273
    • 提供者:李丛阳
  1. acquisition_ad9887a1.3

    0下载:
  2. FPGA 将ad9887a输出的数据写入FIFO_00中,并计数输入的点频,行频和当前行频。将计数的点频,行频和场频数,以及行场信号输出信号(高电平有效)。 点频计数值为前一行的数据量。行频计数输出是前一场的计数。当前行频计数输出是当前行在这一场的行数。-FPGA will ad9887a output data is written FIFO_00 in and point counting input frequency, line frequency, and current line
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:555687
    • 提供者:周新云
  1. frequency_meteris

    0下载:
  2. 本设计采用直接测频法,通过对被测信号在1S内上升沿数量直接测出其频率,误差在±1HZ,具有简单、直观、误差小等优点。在测量小于1HZ的频率时,由于延时,外界影响等因素影响,有可能计数器会得到1HZ的量,使得数码管显示“L”或“1”,当测出为1HZ时并不能确定其值是否为1HZ,故本设计的下限频率为2HZ,而不是1HZ。占空比测量采用比被测信号小的信号测量,其误差为±1HZ。-This design adopts the direct frequency measurement method, ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:5025359
    • 提供者:陈剑明
  1. PS2mouse

    0下载:
  2. 应用FPGA开发版的PS2鼠标处理模块,主要讲输入的鼠标ps2_clk ps2_data信号转换为x y方向上的相对位移量-Application development version of PS2 mouse FPGA processing module, the main speaker mouse ps2_clk ps2_data input signal is converted to a relative displacement of the x y direction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2717
    • 提供者:吴佳祥
  1. Infrared-light-transmission-

    0下载:
  2. 将音频信号输入到电路中,发射端利用红外光发射出去,接收端进行接受,经AD处理,由声音的高低控制灯的量灭,另外将温度实时采集并显示在数码管上,详情请见压缩包中的文档-Audio signal input to the circuit, transmitter with infrared emission, the receiver to accept the AD processing, controlled by the sound of the amount of light, in addi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3591219
    • 提供者:崔兴
  1. FPGAbasedprogramable-PROWER

    0下载:
  2. 本设计提出了一个基于FPGA的程控稳压电源的方案。通过按键向FPGA输入信号,FPGA得到“十位”和“各位”计数脉冲信号,通过计数器模块计数,内部计数器的信号一路送给外部显示电路来显示当前的电压值,另一路经过D/A转换器(DAC0832)输出模拟量,再经过运算放大器隔离放大,控制输出功率管的基极,随着功率管基极电压的变化而输出不同的电压,同时实现双路输出。实际测试结果表明,本系统具有易调节,高可靠性,操作方便,电压稳定度高,其输出电压采用了数字显示的特点。-This design present
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1255803
    • 提供者:南宫崔浩
搜珍网 www.dssz.com