CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 内点

搜索资源列表

  1. traffic_1112

    0下载:
  2. 一个交通灯的vhdl语言实现 用 VC的  1.在指定的文件夹内查找某个文件      2.获取系统文件夹的路径, 要求显示windows system temp 当前目录的路径 C语言  跳马问题:在5*5的棋盘上,以编号为1的点出发,按日只跳马,要求不重复地跳所有位置,求出符合规则所有跳马的方案     1  6  15  10  21     14 9  20  5   16     19 2  7   22  11     8  13 24  17  4     25 18 3   12
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1407
    • 提供者:小三
  1. sin.rar

    0下载:
  2. 用Verilog语言在FPGA内实现一256个采样点的正弦波,已尝试,挺好用的~~~,Verilog language used in the FPGA to achieve one of the 256 sampling points sine wave, I have already tried it, very useful~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:105104
    • 提供者:tianwaifei86
  1. bianma

    0下载:
  2. 用FPGA做数码管编码器!只有用到7段,点没有用,内有仿真-FPGA control with digital encoder to do! Only use 7, Point of no use, there are simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:144968
    • 提供者:长飞
  1. rotate_switch

    0下载:
  2. 双触点旋转开关verilog驱动,内置消抖模块。使用emacs编写源文件,iverilog仿真通过,内有png仿真图像截屏-Double-contact rotary switch verilog drive, built-in modules eliminate shaking. Prepared source files using the emacs, iverilog simulation adopted, within the simulation images png screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:79786
    • 提供者:孙斌
  1. rotate_led_src

    0下载:
  2. 利用旋转开关控制8个LED循环点亮方向,包括旋转开关(消抖,辨别方向)和 LED循环点亮模块。使用emacs+iverilog开发,内附gtkwave仿真截屏。-The use of rotary switch control 8 LED cycle light directions, including the rotary switch (Consumer shake, a sense of direction) and the LED light module recycling. Use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:231150
    • 提供者:孙斌
  1. fskpsk

    0下载:
  2. psk信号发生器在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk信号发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:372255
    • 提供者:aaa111
  1. LEDWALK

    0下载:
  2. 走马灯,又名马骑灯,是中国传统玩具之一,灯笼的一种,常见于元夕、元宵、中秋等节日。灯内点上蜡烛,烛产生的热力造成气流,令轮轴转动。轮轴上有剪纸,烛光将剪纸的影投射在屏上,图象便不断走动。因多在灯各个面上绘制古代武将骑马的图画,而灯转动时看起来好像几个人你追我赶一样,故名走马灯。走马灯内的蜡烛需要切成小段,放入走马灯时要放正,切勿斜放。走马灯VHDL代码 非常有用非常有用!-Revolving door, also known as Maji lights, is one of the tradi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:85646
    • 提供者:李萌
  1. sell

    0下载:
  2. Verilog 实现自动售货机,现在,自动售货机产业正在走向信息化并进一步实现合理化。例如实行联机方式,通过电话线路将自动售货机内的库存信息及时地传送各营业点的电脑中,从而确保了商品的发送、补充以及商品选定的顺利进行-CLK: standard clock signal, in this case, the frequency for 4Hz Now, the vending machine industry is on her way to the information and fur
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:602
    • 提供者:李小明
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. chipromlmp

    0下载:
  2. 片内ROM的LPM应用(适用于存储容量比较大的场合,本节具体描述的ROM为存储了256个点的SIN函数值)-Slice the application within LPM ROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2827
    • 提供者:王国庆
  1. LED

    0下载:
  2. FPGA程序 libero环境下运行 实验例程开发板LED灯轮流点亮,内附说明文档-FPGA programming environment to run experiments libero routine development board LED light is lit in turn, included documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2557301
    • 提供者:ddm
  1. song-play

    1下载:
  2. 本文作者创新点是基于FPGA完成乐曲演奏电路,在Altera Quartus II 环境下,用VHDL 语言实现电子琴演奏音乐的设计实例,设计者根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,用仿真,可在短时间内设计出高效、稳定、符合设计要求的电路。-This innovation is the author of music to play based on FPGA to complete the circuit, the Altera Qu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:151918
    • 提供者:wangwenhao
  1. lock

    0下载:
  2. 本设计 一个4 位数字锁,并验证其操作。 1、基本功能:     (1 )开锁密码为 4 位十进制数,通过按钮输入密码,输入的密码在4个数码管上显示,若与锁内预置的密码一致,输出开锁信号(以点亮一个LED灯表示开锁)。    (2)按钮开关输入须消抖处理。 2、扩展功能:    用户可以设置锁内的密码;    若输入密码三次不正确,输出报警信号,报警信号可以通过闪烁LED或某个数码管上小数点指示。    设置一个复位按键,忘记密码后可通过该复位按键恢复出厂原
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1186512
    • 提供者:李杰
  1. 16FFT

    0下载:
  2. Xilinx的16点傅里叶分析,内有详细说明-The xFFT16 fast Fourier transform (FFT) Core computes a 16-point complex FFT. The input data is a vector of 16 complex values represented as 16-bit 2’s complement numbers – 16-bits for each of the real and imaginary compone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:739685
    • 提供者:我是谁
  1. 1024FFT

    0下载:
  2. Xilinx的1024点傅里叶分析,内有详细说明-The xFFT1024 fast Fourier transform (FFT) Core computes a 1024-point complex FFT. The input data is a vector of 1024 complex values represented as 16-bit 2’s complement numbers – 16-bits for each of the real and imaginary
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:700138
    • 提供者:我是谁
  1. pinlvji2

    0下载:
  2. verilog语言,quartus下实现频率计,内附原理图以及详细说明。 一共6个.v模块,其中一个是top,其余都是子模块。 测量频率的原理很简单,对一定时间内待测信号的上升沿的个数进行记录即可。 单位khz,四位数码管,小数点可以处于其中任何一位,假设数码管由高到低定义成HEX3,HEX2,HEX1,HEX0,那么当hex0的小数点点亮时,表示xxxx khz,hex1的点亮时,xxx.x khz,依次类推。 为保证精度,当时xxxx khz时,最小分辨率应该是1khz,所以
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8601522
    • 提供者:maxiaobo
  1. DDS

    4下载:
  2. 信号发生器设计 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒(由40M有源晶振分频控制)。考虑程序的容量,每种波形在一个周期内均取16个取样点,每个样点数据是8位(数值范围:00000000~11111111)。要求将D/A变换前的8位二进制数据(以十进制方式)输出到数码管动态演示出来。-Signal generator design The signal generator is controlled by waveform se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8041746
    • 提供者:韩大马
  1. BUJINDIANJI

    0下载:
  2. 考虑单片机资源以及实际工作需要,—般在255个加速台阶内完成达到最高速度的启动、加速全过程,而当实际需要的(最高)速度随每次的执行任务情况变化而改变时,我们在程序设计上就按照工作对象的最高速度计算参数表,在每次启动电机运行前恨据需要行走总步数换算出最高加速台阶数量,基本上按照三个1/3的办法去换算,即1/3的行走步数用于加速,1/3用于保持高速运行,1/3完成从高速到低速的降速停止,实现自动调速。根据实际需要也可以用2/5-1/5-2/5方案调速,使电机完成总步数的时间更短一些,也有时为了保证电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11327211
    • 提供者:申彦磊
  1. class_experiment_elective_5

    3下载:
  2. 写了一个常用的楼梯灯的例程,楼下到楼上依次有 3 个感应灯:灯 1、灯 2、灯 3。当行人上下楼梯时,各个灯感应到后自 动点亮,若在 8s 内感应信号消失,则点亮 8s,若感应信号存在时间超过 8s,则感应信号消 失 4s 后灯自动关闭。 任务 1:做出如上逻辑电路设计并仿真; 任务 2:考虑去抖,对于感应信号到达存在毛刺(小于 0.5s),设计逻辑并剔出。 任务 3:若为节约能源,下一个灯点亮的同时将自动关闭上一个灯,做出如上逻辑设计并仿 真(仅考虑一个人的情况); 任务 4:考
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:1024
    • 提供者:后天夜间
搜珍网 www.dssz.com