CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 双线性插值

搜索资源列表

  1. resolutionquartusII

    1下载:
  2. 用verilog编写的分辨率提高的源代码 采用双线性插值-Written resolution with the verilog source code to improve the use of bilinear interpolation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6241225
    • 提供者:权晶
  1. scaler

    0下载:
  2. 针对视频数据的ZOOM IN/OUT模块, 插值算法为双线性或最邻近可选。-For video data ZOOM IN/OUT module, Interpolation algorithm for bilinear or nearest neighbor optional.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6765
    • 提供者:
  1. project_11_first_d1_HDMI

    0下载:
  2. 本代码将TW2867第一通道输出解复用以后进行BT.656格式的解析,然后将奇偶场合并为一帧存入DDR2,读取的时候使用双线性插值算法,将原始的720 x576的分辨率放大到800x600,然后在HDMI口输出。-This code will TW2867 first channel output demultiplexing after parsing BT.656 format, then the parity occasions and as a frame stored in DDR2,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:808017
    • 提供者:张少伟
搜珍网 www.dssz.com