CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 学习

搜索资源列表

  1. VHDL学习手册

    0下载:
  2. VHDL学习手册-VHDL study manual
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5460377
    • 提供者:Paladin
  1. 35_486_bus

    0下载:
  2. 请注意: 本例的源描述包含文件类型,在学习版上不能编译及模拟, 如果您需要对此描述进行编译及模拟,请与北京理工大学 ASIC研究所联系。 另外,此例与第75例是同一个电路的不同部分的描述,可以 一起参考这两个例子的描述。-Please note : The cases include the descr iption of the source file type, version of the study can not be compiled and simulation, if
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6147
    • 提供者:撒旦
  1. dianzizhong

    0下载:
  2. 这是我在学习过程中编的数字钟的原程序,含各种时钟模块,以及计数器,累加器等,可以直接下载,已经编译通过!-This is my learning process in the middle of the 10-minute program, containing various clock module and the counter, accumulator, and can download, compile!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:551572
    • 提供者:刘恒辉
  1. 16位16个精简指令RISC单片机IP

    0下载:
  2. 16位16个精简指令RISC单片机IP,对于想学习学习处理器内核、编写自己的微处理器的朋友有帮助。-16 bit RISC MCU IP with 16 ops,if you want to study how write your own MCU down,you can get help with it.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4015
    • 提供者:吴文河
  1. 示例(vhdl)

    0下载:
  2. vhdl 实例 通过实例学习vhdl 编程-VHDL examples examples to learn VHDL programming
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:78076
    • 提供者:joan
  1. 4x4的数据选择器

    0下载:
  2. 用vhdl的4x4的数据选择器,在maxplusII下编译、仿真通过。是构成大型数字电路的重要部件。适合vhdl初学者分析学习。-4x4 with the VHDL data selectors, under the maxplusII compiler, simulation through. Yes constitute large-scale digital circuits important components. VHDL Analysis for beginners to lear
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3394
    • 提供者:roya
  1. alu_vlog

    0下载:
  2. 学习使用HDL Bencher生成测试积累,并直接调用ModelSim进行仿真的方法.-learning HDL Bencher generate test accumulation, and called directly ModelSim simulation methods.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:154987
    • 提供者:yiyi
  1. core51_VHDL

    0下载:
  2. VHDL写的51单片机内核,实现51的全部工能,学习开发FPGA的参考资料。-VHDL wrote 51 microcontroller core, the realization of all the 51 workers may learn FPGA development of reference materials.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:95984
    • 提供者:杨标
  1. VHDL例程

    0下载:
  2. 有关VHDL的大量例程,对学习VHDL编程的人具有很大的帮助,不可不看-lot of routines, to learn VHDL programming of great help, I can not see
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169410
    • 提供者:
  1. VHDL程序范例

    0下载:
  2. 这是有关VHDL的一些范例,可以通过范例学习一点东西,巩固自己学过的东西-This is the VHDL some examples, examples can learn something consolidate learned things
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5085
    • 提供者:刘建
  1. 一个波形发生器和sine波形发生器

    0下载:
  2. 这是一个典型的正玄波发生器程序和一个任意波形发生器程序,大家可以参考学习,对于vhdl入门还是很有帮助的-This is a typical wave generator Shogen procedures and an arbitrary waveform generator procedures, Members can take a learning portal for VHDL or helpful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3444
    • 提供者:张云鹏
  1. fpga学习中常用的缩略语

    0下载:
  2. fpga学习中常用的缩略语-commonly used abbreviations
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:28277
    • 提供者:周贤
  1. HuaweiFPGAdesignflowguide

    0下载:
  2. 华为内部的FPGA设计培训教程,详细阐述了设计流程图、Verilog HDL设计、逻辑仿真、逻辑综合。对大家的学习一定有帮助的。-Huawei within the FPGA design training tutorial, a detailed flow chart of the design, Verilog HDL design, logic simulation, logic synthesis. Study of the U.S. must have help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:34314
    • 提供者:张芸
  1. Verilog-rumen

    0下载:
  2. FPGA学习上机资料,包括详细的学习文档,代码资料,工程文件,是新手入门的好资料。文件中包含了3个PDF文件,详细讲述了开发环境,入门的各种注意点-FPGA-on learning information, including a detailed study and documentation, code data, engineering documents, is a novice a good data entry.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:699181
    • 提供者:老五
  1. Altera_FPGA_CPLD_Designing(Advanced)

    0下载:
  2. Altera FPGA_CPLD设计(高级篇) Altera FPGA/CPLD学习的优秀参考书-Altera_FPGA_CPLD_Designing(Advanced)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:21382452
    • 提供者:彭军
  1. FPGA的学习流程

    0下载:
  2. FPGA 的学习流程,FPGA设计人员的进阶路线, FPGA设计学习经验小谈等等论坛经验总结(FPGA's learning process, the advanced course of FPGA designers, FPGA design, learning experience, little talk, and so on)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:348160
    • 提供者:linlei
  1. VHDL实用教程_潘松_王国栋

    0下载:
  2. VHDL语言入门学习资料,非常赞,看了觉得很值!(This is a good tutorial for learning VHDL language. Very suitable for beginners to learn)
  3. 所属分类:VHDL/FPGA/Verilog

  1. Verilog-HDL基础知识非常好的学习教程

    0下载:
  2. Verilog-HDL基础知识非常好的学习教程(Verilog-HDL basic knowledge, a very good learning tutorial)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:569344
    • 提供者:芮芊
  1. I2C-verilog-(非常详细的i2c学习心得)

    0下载:
  2. i2c学习心得,详细的I2C VERILOG实现代码(i2c learning experience, detailed I2C VERILOG implementation code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:923648
    • 提供者:jeanvaljean
  1. 芯创电子手把手教你学习FPGA—FPGA基础篇

    0下载:
  2. FPGA学习资料,星创电子的,入门教程(FPGA learning materials, Star Electronics, tutorial)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com