CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 搜索

搜索资源列表

  1. VHDL_Yifeng_Ke

    0下载:
  2. 原版VHDL语言教程 我也是在网上搜索到的,很经典 好东东不敢自己独享!-original VHDL Guide I am also in the online search of the classic good Dongdong dare not stay!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2985459
    • 提供者:刘凯
  1. 14-Gops_programmable_motion_estimator_for_H.26X_vi

    0下载:
  2. FPGA实现运动估计的经典论文,提供了一个16_PE结构的高效全搜索运动估计算法
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:863019
    • 提供者:chen
  1. Barker

    1下载:
  2. 实现基于逐码移位法的7位巴克码集中插入式搜索算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1112
    • 提供者:黄虎
  1. radio.rar

    0下载:
  2. 本程序演示 :以非利普TEA5767 为核心的,高中频处理,以及立体声解调,高频锁相环为一体的收音程序, 1 支持手动输入频率 频率范围:87。5MHZ - 108。5MHZ 2 自动搜索电台(本程序已经写好,但效果不太理想,有假台) 3 支持电台编号功能(存储电台频率到24C02) 4 支持频率微调 5 支持电台选择 ,This procedure demo: TEA5767 non-Lipkin at the core, high-frequency processin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:669804
    • 提供者:涂龙
  1. RS-decoder

    0下载:
  2. RS 解码器主要包括以下5 个主要部分:伴随式计算、计算错误位置和错误值多项式、 钱搜索计算错误位置、福尼算法计算错误值和纠正解码输出。-RS decoder includes the following five main parts: With style, calculated error location and error value polynomial, Calculated error location search of money
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:521090
    • 提供者:lee
  1. syn_frame

    3下载:
  2. 基于verilog的帧同步搜索,fpga中可以实现帧头搜索,进而实现同步,并有一定的容错能力-verilog-based frame synchronization searching
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:838
    • 提供者:dereklee
  1. lcd

    0下载:
  2. 基于fpga的tft液晶驱动,控制器是ILI9325,是verilog写的,16位并口模式,我上网上搜索了很久都没找到的,-Fpga based on the tft LCD driver, controller ILI9325, is written in verilog, 16-bit parallel mode, on-line search for a long time I did not find,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:3734528
    • 提供者:第三方
  1. 213123

    0下载:
  2. VHDL 经典参考书很不错 适合初学者 自己搜索-VHDL classic reference book is pretty good for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:3381022
    • 提供者:哈哈
  1. tongbu

    0下载:
  2. 1、搜索出数据流中的帧同步字信号,并给出帧同步标志。 2、系统工作开始后,要连续3次确认帧同步字进入锁定状态后才输出帧同步标志。 3、在锁定状态时,如连续出现3次错误的帧同步字,则帧同步标志输出无效,系统重新进入搜索状态;否则继续输出有效的帧同步标志。 -1, the search for the data stream signal in the frame synchronization word and frame synchronization flag is given.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5551
    • 提供者:your name
  1. frame detect

    1下载:
  2. 帧同步搜索电路,检查帧同步所在,VHDL程序-frame detect
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-22
    • 文件大小:31600
    • 提供者:
  1. segment

    0下载:
  2. 设计一个运算器,可实现输入的2个一位十进制数的加、减运算。要求:输入提供十个数字键,先转化为8421码,再运算,输入的数据和输出结果都要以七段显示译码器显示出来(仿真波形)。输入模块、运算模块、数据转换模块要求用不同的模块分别实现。小孟浩搜索不到吧-Design a calculator, can be one of the input of two decimal addition, subtraction operations. Requirements: Enter the ten num
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1049
    • 提供者:weight
  1. 1.KeyNoEINT

    0下载:
  2. stm 32 key 键盘搜索 键盘应用单片机开发-stm 32 key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:444486
    • 提供者:陈川瑞
  1. syn_search

    0下载:
  2. 设计一检测电路,搜索帧同步码。要求在搜捕态能够正确地从数据流中提取帧同步码,在达到一定设计要求时进入稳定同步态。同时,要求帧同步检测电路具有一定的抗干扰能力,在稳定同步态发现帧失步次数超过设计要求时,系统要进入搜捕状态。-Design a detection circuit, the search frame synchronization code. Required to search state can correctly be extracted from the data stream
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:990
    • 提供者:huluobo
  1. data_syn_check_47

    0下载:
  2. 帧同步搜索,用控制数据替换MPEG-2 TS流中的空帧-Frame synchronous search,Replace MPEG-2 TS stream by using the control data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:29582
    • 提供者:杨明
  1. frame-synchronous-search-circuit

    1下载:
  2. 用verilog语言编写的帧同步搜索电路,输入数据data为8 bit并行数据流,基本结构为数据帧,帧长为10字节,帧同步字为H“FF”。clk为输入同步时钟。-Verilog language for frame synchronous search circuit, the input data is data for the 8-bit parallel data stream, the basic structure of the data frame, the frame lengt
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-09
    • 文件大小:420881
    • 提供者:眭明
  1. wcdma_reciever

    0下载:
  2. 本代码仿真了WCDMA小区搜索。cell_search_cpich scramble wcdmasource-This code emulation WCDMA cell search. cell_search_cpich scramble wcdmasource
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:2832
    • 提供者:brianwu
  1. project1source

    0下载:
  2. sdh帧同步,实现sdh帧搜索,预同步,同步,保护等各态的功能-SDH frame synchronization SDH frame search, pre-sync, synchronization, protection, the function of each state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:59383
    • 提供者:冷静思
  1. YJ_EP4

    0下载:
  2. 与Cpress CY8013所对应的 FPGA端的开发 使用NIOSII NIOSII 连续往USB FIFO 端点里灌数据 上位机不断的接收 陪和我的上位程序可以达到30Mbyte/s 需要上位机程序的去搜索TestUSBSpeedMFCNovember -upload-And Cpress CY8013 corresponding end FPGA development using NIOSII NIOSII continuous irrigation to USB FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:25570224
    • 提供者:kn
  1. RS(204-188)decoder

    1下载:
  2. rs_decoder.v(顶层文件), SyndromeCalc.v(计算伴随式), BM_KES.v(BM求解关键方程), Forney.v(Forney算法求误差样值), CheinSearch.v(搜索错误位置),ff_mul.v(有限域乘法)。 ROM及初始化文件: rom_inv.v(求逆运算), rom_power.v(求幂运算); rom_inv.mif(ROM初始化文件), rom_power.mif(ROM初始化文件)。 仿真波形:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:15449
    • 提供者:杜洵
  1. Frame-synchronization

    2下载:
  2. 帧同步状态机主要是为了克服通信中可能出现的各种意外情况,包括信号丢失、信道误码等造成的通信中断,尽量维护正常的通信的进行。当系统启动后,同步状态机处于失步态,并且不断搜索输入信号中的有效同步模式(“10011011”),一旦当其找到一个有效的同步模式后,进入预同步态;在预同步态还不能完全确定当前找到的帧开始位置(由同步模式确定的位置)是正确的,还需要继续检查2个相隔一个帧长(256个时钟)后的位置是否仍然存在有效的同步模式,如果存在那么同步状态机将进入同步态,否则将返回失步态继续搜索下一个有效的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4098
    • 提供者:刘旭
« 12 »
搜珍网 www.dssz.com