CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数字电压表

搜索资源列表

  1. vdevice

    0下载:
  2. 基于FPGA系统的数字电压表设计大范围,超精确的详细报告,共有40多页-FPGA-based system design digital voltage meter large-scale and ultra-precise details of the report, a total of over 40 pages
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:140272
    • 提供者:刘嵘
  1. shzdyb

    0下载:
  2. 这是在FPGA上实现的数字电压表,用VHDL编写的,已通过编译,仿真验证。-This is the FPGA to achieve the digital voltage meter, prepared by using VHDL, compile and simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14583
    • 提供者:吴乔红
  1. tlc549.数字电压表的VHDL语言实现

    1下载:
  2. 数字电压表的实现,VHDL语言实现,AD采用TLC549,通过学习,了解AD采集过程,The realization of digital voltage meter, VHDL language, AD using TLC549, by learning to understand the acquisition process AD
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:1776
    • 提供者:LX
  1. dianyabiao

    0下载:
  2. 数字电压表vhdl源程序,一个很不错的东西,欢迎大家有点帮助。-Vhdl source digital voltage meter, a very good thing, welcome to some extent.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2579
    • 提供者:张炯
  1. voltage

    0下载:
  2. 通过FPGA 控制实现数字电压表的设计,用VHDL实现,编写源代码-FPGA control through the digital voltage meter design, the realization of VHDL, the preparation of the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:123358
    • 提供者:sunruili
  1. szdyb

    1下载:
  2. 基于Verilog HDL的数字电压表的程序-Verilog HDL-based procedures for the digital voltmeter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:540
    • 提供者:xyj
  1. 45666001shzdyb

    0下载:
  2. 数字电压表的实现,运用vhdl语言,进行仿真-digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:14587
    • 提供者:dukui
  1. DigitalVvoltmeter

    0下载:
  2. 用ise工具实现数字电压表的功能,编程语言为vhdl-ise Digital voltmeter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1793
    • 提供者:cl
  1. shuzidianyabiao

    0下载:
  2. 基于FPGA的数字电压表的设计,整篇文档,希望会对你们有帮助-FPGA-based design of digital voltmeter, the entire document, which I think may help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2984852
    • 提供者:小卓
  1. ex

    0下载:
  2. 基于fgpa的电压表程序,VHDL,数字电压表的VHDL设计与实现-Procedures based on fgpa voltmeter, VHDL, digital voltage meter Design and Implementation of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2469
    • 提供者:刘辰
  1. szdyb

    0下载:
  2. 关于数字电压表的vhdl实现,有仿真程序,可以下载到板子中。-Vhdl digital voltage meter on the implementation of a simulation program can be downloaded to the board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5640
    • 提供者:李明
  1. ADC08099

    0下载:
  2. ADC0809数据采集程序,用于制作数字电压表,亲测可用。-ADC0809 data acquisition program, the pro-test is available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:270649
    • 提供者:hdz
  1. shuzidianyabiao

    1下载:
  2. 系统基于EDA技术的智能数字电压表实现,以现场可编程门阵列(FPGA)为设计核心,集成于一片Xilinx公司的SpartanⅡE系列XC2S100E-6PQ208芯片上,在ISE环境下采用超高速硬件描述语言(VHDL)模块化编程,实现了电压的数据采集、转换、处理、显示等功能。本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。-System based on EDA technology of intelligent d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:15360
    • 提供者:zhao
  1. 1

    0下载:
  2. 用单片机AT89S51与ADC0809设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示-Microcontroller AT89S51 with ADC0809 design with a digital voltmeter to measure between 0-5V DC voltage, four digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13183
    • 提供者:he tong
  1. digital-voltmeter-

    0下载:
  2. 利用单片机AT89S51与ADC0809设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示-Microcontroller AT89S51 with ADC0809 design using a digital voltmeter to measure the 0-5V DC voltage between the value of four digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:43589
    • 提供者:吴辉
  1. digital_voltage

    1下载:
  2. VHDL开发的数字电压表,量程5V,精度0.01V,在Sparten3E FPGA运行通过-VHDL development of digital voltmeter, range 5V, precision 0.01V, running through the Sparten3E FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:3072
    • 提供者:刘勇
  1. LCD-Digital-voltmeter

    0下载:
  2. 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优点。-Digital voltmeter the basic working principle is to use A/D converter circuit to test the analog signals into digital Signal converted by th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:139839
    • 提供者:任林
  1. ADC0809

    0下载:
  2. PROTEUS用ADC0809做成的数字电压表 用ADC0809做成的数字电压表-PROTEUS made ​ ​ with ADC0809 ADC0809 made ​ ​ with a digital voltmeter digital voltmeter made ​ ​ with a digital voltmeter ADC0809
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:61329
    • 提供者:杨柳
  1. FPGA 数字电压表

    2下载:
  2. 基于FPGA的数字电压表的VHDL设计两种语言设计
  3. 所属分类:VHDL编程

  1. 数字电压表程序

    1下载:
  2. 基于FPGA的数字电压表 两种方案 一种VHDL一种Verilog(Digital voltmeter based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:4096
    • 提供者:duhai
« 12 »
搜珍网 www.dssz.com