CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 正弦信号 频率

搜索资源列表

  1. yixiang

    0下载:
  2. 数字式移相信号发生器可以产生预置频率的正弦信号,也可产生预置相位差的两路同频正弦信号,并能显示预置频率或相位差值;-digital phase shifting generator can produce preset frequency sinusoidal signal, Preferences may also have phase difference with the way the two-frequency sinusoidal signal, and can show that
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7196
    • 提供者:黄瑞炎
  1. dds

    0下载:
  2. DDS正弦信号发生器 频率和相位连续可调。频率最大2M
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3806
    • 提供者:dsf
  1. 基于FPGA的直接数字合成器设计

    0下载:
  2. 1、 利用FLEX10的片内RAM资源,根据DDS原理,设计产生正弦信号的各功能模块和顶层原理图; 2、 利用实验板上的TLC7259转换器,将1中得到的正弦信号,通过D/A转换,通过ME5534滤波后在示波器上观察; 3、 输出波形要求: 在输入时钟频率为16KHz时,输出正弦波分辨率达到1Hz; 在输入时钟频率为4MHz时,输出正弦波分辨率达到256Hz; 4、 通过RS232C通信,实现FPGA和PC机之间串行通信,从而实现用PC机改变频率控制字,实现对输出正弦波频率的控制。-a use
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22183
    • 提供者:竺玲玲
  1. VHDL.rar

    0下载:
  2. 正弦信号发生器具有频率调节功能。采用VHDL编程实现。,Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1482420
    • 提供者:朱广利
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. sin_vhdl

    0下载:
  2. 由可编程器件控制的信号发生器可输出正弦波、方波、锯齿波,其频率可调。能输出正 弦波、方波、锯齿波的组合波形,且组合波形的频率可调。还能输出占空比和频率可调的方 波。-Controlled by a programmable device signal generator can output sine wave, square wave, sawtooth wave, its frequency is adjustable. Be able to output sine wave, sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135434
    • 提供者:chen
  1. dds

    0下载:
  2. 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:278374
    • 提供者:吴健
  1. FPGA_signal_general

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。 关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号-Abstract: Direct Digital Synthesis (DDS) technology, the basic principles are giv
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:102056
    • 提供者:赵文
  1. ddszh

    0下载:
  2. 基于FPGA的DDS正弦信号发生器,信号失真小,频率稳定,可调-FPGA DDS shuzhi xinhao
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:328706
    • 提供者:wzy
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. sdfdf

    0下载:
  2. 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1 (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1 键盘从上到下,从左到有依次为: 1 2 3 4 5 6 7 8 9 0 .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:338410
    • 提供者:wangqiang
  1. dds_vhdl

    0下载:
  2. fpga VHDL语言,控制DDS产生频率可变的正弦波信号扫频-FPGA VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2759851
    • 提供者:gaoshang
  1. DDS

    0下载:
  2. 这是一个任意频率的正弦信号发生器,具有可改变输出信号频率,输出信号相位,任意转换输出信号类型(正弦、余弦、锯齿波、方波),屏幕可分别显示用户设定的信号频率与输出信号检测频率。-This is an arbitrary frequency sinusoidal signal generator, with can change the output signal frequency, the output signal phase, arbitrary conversion output sign
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1987774
    • 提供者:紫郢寒光
  1. DigitalSignalGenerator

    1下载:
  2. 多功能信号发生器,实现4种常见波形正弦波、三角波、锯齿波、方波的功能。并且输出信号的频率范围为100Hz~200KHz,输出频率可以调节;可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出,具有显示输出波形、频率的功能。-Digital Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:79065
    • 提供者:smile
  1. ad9850

    0下载:
  2. AD9850的控制程序,用于产生各种频率的正弦信号-AD9850 control program, used to generate sinusoidal signals of various frequencies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:737
    • 提供者:godspeed
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. fskpsk

    0下载:
  2. psk信号发生器在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk信号发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:372255
    • 提供者:aaa111
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. func_generator

    0下载:
  2. 一种可调频率的正弦信号发生器的vhdl实现,含测试文件-An adjustable frequency sinusoidal signal generator vhdl implementation, including the test file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:872082
    • 提供者:sylor
  1. DDS

    0下载:
  2. dds数字频率合成器,可用来产生不同频率的正弦波形用作正弦信号发生器-dds Can be used to generate the sine wave of different frequencies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1145773
    • 提供者:焦艳华
« 12 3 4 »
搜珍网 www.dssz.com