CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 波形发生

搜索资源列表

  1. wave_genarator_vhdl

    0下载:
  2. vhdl波形发生程序.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -vhdl waveform occurred procedures. 4 achieve common sinusoidal waveform, 1.30, sawtooth, square-wave (A, B) the frequency and amplitude control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10310
    • 提供者:江汉
  1. Sin_wave

    0下载:
  2. sin波形信号发生起的程序 VHDL语言描述 QUartus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:483840
    • 提供者:luyingc
  1. labview波形发生和数据采集程序包含了很多子VI

    1下载:
  2. labview波形发生和数据采集程序包含了很多子VI,可以帮助大家学习-labview waveform generation and data acquisition program contains a number of sub-VI, can help you learn
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-20
    • 文件大小:322602
    • 提供者:
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. FPGAzigzag

    0下载:
  2. 三角波发生器,VHDL语言描述,通过信号分频等实现波形发生,已经在示波器上验证了,效果不过。-Triangular wave generator, VHDL language descr iption, such as through the realization of the signal waveform frequency has been verified on an oscilloscope, the effect, however.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:46648
    • 提供者:math
  1. wave

    0下载:
  2. vhdl代码! 波形发生程序!初学者可以参考参考-VHDL code! Programmed waveform! Beginners can refer to reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:10290
    • 提供者:daxiadian2
  1. WAVE

    0下载:
  2. 关于波形发生功能的Verilog代码和Quartus文件完整文档。-Waveform occurred on the function of Verilog code and Quartus files a complete document.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1409429
    • 提供者:dan
  1. Programmedwaveform

    0下载:
  2. 波形发生程序,需要的朋友可以下栽下来-Programmed waveform need to be a friend to see下栽down
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:10029
    • 提供者:
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. QIMO

    0下载:
  2. Verilog 编写的任意波形发生器,附带了顶层文件,输出波形-Verilog prepared arbitrary waveform generator, with a top-level document, the output waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5356659
    • 提供者:ivan
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. S3_WAVE

    0下载:
  2. 这是一个波形发生程序 运行环境是quartus2 已通过编译-The code is to generate a wave welecome to download!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:26774911
    • 提供者:叶婧雯
  1. DDStest

    0下载:
  2. 基于fpja的信号发生系统,简单而精度可控的数字波形合成方法!-The signal system based on fpja, simple and accurate control of the digital waveform synthesis method!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3214946
    • 提供者:杨昆
  1. EDAkeshe--huanhuan

    0下载:
  2. 该文件里包含有EDA课程设计波形发生器的设计,可以实现波形发生,对信号的幅度和频率都可调-This file contains the EDA curriculum design waveform generator is designed to achieve waveform of the signal' s amplitude and frequency are adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:372532
    • 提供者:huanhuan
  1. sanjiao

    0下载:
  2. 已经是成品了,是三角波测量仪,可以测任意波形频率和幅值,占空比,并自带三角波发生器可以调幅度 0~100占空比 发生频率步进可调,绝对高精度, 里面还有报告-Is already finished, and is a triangle wave measuring instrument can measure the frequency and amplitude of any waveform, duty cycle, and comes with triangular wave genera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7484912
    • 提供者:冯德就
  1. 1WIRE_NET

    0下载:
  2. proteus实现led波形的发生及转换-proteus and realize the occurrence led waveform conversion. . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:11721
    • 提供者:李应伟
  1. LSY_wave

    0下载:
  2. 比赛时写的李萨如波形发生器的代码,用verilog写的,里面集成数据采集和DDS波形发生。-Game when writing the the Lissajous waveform generator code, written in verilog the inside integrated data acquisition and DDS waveform generation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:7559942
    • 提供者:吕俊
  1. SIN001

    0下载:
  2. sin函数波形发生的VERILOG语言原代码-the VERILOG language original code sin function waveform generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:357694
    • 提供者:李龙进
  1. Verilog-HDL-based-signal-generator

    0下载:
  2. 应用Verilog进行编写四种波形发生的程序,并结合DE2板与DVCC实验板上的D/A转换器在示波器显示出波形。初步了解Verilog的编程及DE2板的应用,加强对其的实际应用操作能力。-Verilog waveform application process for the preparation of the four occurred, combined with D DE2 board and DVCC experimental board/A converter in the osci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:353832
    • 提供者:秦雯
  1. DDS

    0下载:
  2. 基于FPGA的DDS波形发生模块,频率相位可调-Module based on FPGA DDS waveform,Adjustable frequency phase
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:117255
    • 提供者:常云鹏
« 12 »
搜珍网 www.dssz.com