CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 波形 wave

搜索资源列表

  1. 一个波形发生器和sine波形发生器

    0下载:
  2. 这是一个典型的正玄波发生器程序和一个任意波形发生器程序,大家可以参考学习,对于vhdl入门还是很有帮助的-This is a typical wave generator Shogen procedures and an arbitrary waveform generator procedures, Members can take a learning portal for VHDL or helpful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3444
    • 提供者:张云鹏
  1. multi-wave-creator

    1下载:
  2. 基于FPGA的多波形发生器(编程环境QuartusII6.0)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1054120
    • 提供者:朱旋风
  1. VHDL-ROM4.基于ROM的正弦波发生器的设计

    0下载:
  2. 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 ,ROM-based design of the sine wave generator: 1. Sinusoidal waveform generator by the data storage module (ROM), wav
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-27
    • 文件大小:98211
    • 提供者:宫逢源
  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. sWave.rar

    0下载:
  2. 正弦波,Verilog波形发生器,很好的东西,Sine wave, Verilog waveform generator, a good thing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1391960
    • 提供者:yanppf
  1. DDS-top.rar

    0下载:
  2. 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。,Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:299054
    • 提供者:evil
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. 1

    0下载:
  2. 多功能波形发生器 方波(占空比可调) 三角波 -Multi-function waveform generator square wave (variable duty cycle) triangular wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:652
    • 提供者:wanghua
  1. wave

    0下载:
  2. vhdl代码! 波形发生程序!初学者可以参考参考-VHDL code! Programmed waveform! Beginners can refer to reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:10290
    • 提供者:daxiadian2
  1. WAVE

    0下载:
  2. 关于波形发生功能的Verilog代码和Quartus文件完整文档。-Waveform occurred on the function of Verilog code and Quartus files a complete document.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1409429
    • 提供者:dan
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. VerilogHDLDDS

    0下载:
  2. 简单介绍了直接数字频率合成技术(DD S),利用DDS设计任意 波形发生器,其能够产生矩形波、正弦波、三角波、锯齿波等多种波形 -A brief introduction of direct digital frequency synthesis (DD S), the use of DDS design of arbitrary waveform generator, which can produce rectangular wave, sine wave, triangle wave,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:199991
    • 提供者:guoguo
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. waveform

    0下载:
  2. Verilog HDL数字系统设计项目,频率可调的任意波形发生器,可以输出正弦波、方波、三角波和反三角四种波形-Verilog HDL digital system design projects, adjustable frequency arbitrary waveform generator can output sine wave, square wave, triangle wave and the anti-triangular four waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274171
    • 提供者:saln
  1. Wave-Generators_4-kinds

    0下载:
  2. 简易波形发生器.4种波形_频率可调:1-20千赫兹。基于ECILPS开发,可用于Altera的SOPC中。-Simple waveform generator waveforms _ _4 :1-20 Adjustable Frequency kHz. ECILPS based development, can be used in SOPC of ALTERA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1218517
    • 提供者:李寅鑫
  1. wave-generator(vhdL0

    0下载:
  2. 10章 波形信号发生器 vhdl波形发生器很有学习价值-Waveform signal generator VHDL is learning value waveform generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:51907
    • 提供者:wlb
  1. Wave

    0下载:
  2. 波形发生器可产生正弦波余弦波方波由DDS原理产生-Cosine waveform generator can produce sine wave square wave generated by the DDS principle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3162
    • 提供者:何恒盛
  1. ROM-based-sine-wave-generator-design

    0下载:
  2. 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。-ROM-based sine wave generator design, its compilation, simulation. Specific requireme
  3. 所属分类:VHDL-FPGA-Verilog

  1. wave(last)

    1下载:
  2. 基于FPGA的示波器,可以调频,调幅,长生漂亮的波形-FPGA-based oscilloscope, FM, AM
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:1090243
    • 提供者:chen
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com