CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 流水灯

搜索资源列表

  1. 流水灯VHDL程序

    0下载:
  2. 流水灯的VHDL原程序,以4种模式LED显示.-wasted lights VHDL program, in the four-mode LED display.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1004
    • 提供者:韦元龙
  1. led程序

    1下载:
  2. led流水灯
  3. 所属分类:VHDL编程

  1. 基于FPGA的verilog语言的10种流水灯的设计报告

    2下载:
  2. 基于FPGA的verilog语言的10种流水灯的设计报告,有相应的方框图和具体的程序解释-FPGA-based verilog language of the 10 water light design report, there is a corresponding block diagram and detailed explanation of the procedures
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:89088
    • 提供者:柳沐璇
  1. 用verilog语言编写的按键控制流水灯实验程序

    1下载:
  2. 用verilog语言编写的按键控制流水灯实验程序。通过3个按键可以分别控制流水灯的亮灭、左移、右移。压缩包内也包含此按键控制流水灯实验程序的modelsim仿真文件。-Verilog language with control buttons light water experimental procedure. By three buttons can control the light water lights off, left, right. This archive also cont
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:190189
    • 提供者:广子
  1. 8-led-VHDL

    0下载:
  2. 8位流水灯程序设计,跑马灯效果显示,里面是VHDL程序。-8 water lamps program design, which is a VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:109883
    • 提供者:luo
  1. lightflu

    0下载:
  2. 用Verilog写的一个流水灯程序,实现8位led灯循环点亮!-Written with a flowing light Verilog program, to achieve 8 led light cycle light!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:277048
    • 提供者:ye
  1. horse_light4

    0下载:
  2. 六种花样的流水灯,从左至右,从右至左,中间向两边,两边向中间,跳格闪烁等。verilog语言编写; 并且扩展容易; 有两个状态机构成实现。quartus 9.0和7.1仿真通过。无错误,无警告。-Six kinds of patterns of flowing water lights, from left to right, from right to left, in the middle to both sides, both sides toward the middle, ju
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:70009
    • 提供者:tangjieling
  1. liushuideng

    0下载:
  2. 流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768941
    • 提供者:赵剑平
  1. led_water

    0下载:
  2. Altera FPGA流水灯工程文件Verilog语言代码,作为入门级的参考程序-Altera FPGA Verilog flow light project files language code, as the entry-level reference program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:234462
    • 提供者:kiling
  1. 4LED

    0下载:
  2. 4LED流水灯程序,可更换频率,采用状态机,低电平有效亮灯,高电平熄灭(4LED water lamp program, you can change the frequency. Using state machine, low level active light, high level extinction)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:1024
    • 提供者:柳弦
  1. 04_led_test

    0下载:
  2. verilog 入门 流水灯verilog 入门 verilog 入门 verilog 入门(verilog led test xilinx)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2488320
    • 提供者:翻山越岭
  1. 4_led_test

    0下载:
  2. 关于FPGA的基于EP4CE6的流水灯程序(The Water lamp program of FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:5445632
    • 提供者:端儿
  1. led流水灯

    0下载:
  2. 在vivado做的led流水灯,包括分频(Done in vivado LED water lamp, including frequency division)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:4096
    • 提供者:ge_gege
  1. project_1

    0下载:
  2. 在FPGA上实现一个流水灯,包括端口设定等(On FPGA to achieve a water led, including port settings)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:562176
    • 提供者:杨云霄
  1. led_water

    0下载:
  2. 酷睿系列流水灯通用程序,来回往返流水,点亮led(ledwater for ep2c8q208c8)
  3. 所属分类:VHDL/FPGA/Verilog

  1. led_2_0816

    0下载:
  2. veilog程序实现在fpga上流水灯循环显示(Veilog program to achieve in fpga water lamp cycle display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:669696
    • 提供者:wuyezhiyue
  1. WATER

    0下载:
  2. 精心挑选的一个自己认为最适合新手学习的流水灯程序。注释清晰,结构易读,代码习惯很好。(A carefully selected program that you think is most suitable for a novice. The annotations are clear, the structure is easy to read, and the code habits are very good.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:军犬
  1. 04_led_test

    0下载:
  2. FPGA入门实验,简单的流水灯,计数器的使用较规范(FPGA introductory experiment, simple flow lamp, the use of counter is more standard)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:2310144
    • 提供者:konan007
  1. LCD显示灯循环时间

    0下载:
  2. 基于lpc2138开发的流水灯 通过lcd显示灯亮的时间。(Based on the development of LPC2138, the water lamp can display the time of the lamp's illumination through LCD.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:132096
    • 提供者:Alicute
  1. 1

    0下载:
  2. 利用板子上的LED灯,用Verilog编程实现的流水灯模块(Using the LED lights on the board, we use Verilog programming to realize the water lamp module.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:486400
    • 提供者:somnus浅眠
« 12 3 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com