CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 混频

搜索资源列表

  1. LogicLock

    0下载:
  2. 实现数字混频,verilog与原理图混合编程-Digital mixer, verilog and mixed programming schematic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3613960
    • 提供者:张旭
  1. E2_4_SimSigPrduce

    0下载:
  2. 混频器 利用FPGA实现625khz乘以625khz混频器的设计-mixer come ture 625khz*625khz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:1462
    • 提供者:eleman
  1. dds_mixer

    0下载:
  2. 包括dds的产生 已经混频 里面包括详细的仿真 以及matlab验证-dds mixer matlab vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:7539695
    • 提供者:孙范瑞
  1. fpgaUPDW

    0下载:
  2. fpga上下变频混频实现,其中CIC采用多种方法设计,自己花两个星期编写,中文注释,浅显易懂-fpga up and down conversion mixer implemented which CIC using a variety of methods designed, he spent two weeks writing notes in Chinese, easy to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:2121
    • 提供者:胡昊波
  1. DDC_FPGA

    2下载:
  2. 基于FPGA的数字下变频器(DDC)的设计,将采样得到的高速率信号变成低速率基带信号,以便进行下一步的信号处理。由NCO、数字混频器、低通滤波器和抽取滤波器四个模块组成。采用自编的加法树乘法器,提高乘法运算效率。-Design based on FPGA digital downconverter (DDC), the high-speed signal will be sampled baseband signal into a low rate for the next step in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:52476
    • 提供者:shengxx
  1. cordic1

    0下载:
  2. cordic硬件实现,主要是实现正余弦,也可以用作NCO混频中,实现解调功能-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:3810
    • 提供者:zhangyang
  1. hunpin

    0下载:
  2. 数字电路任意频率混频器用FPGA来实现完成-FPGA Implementation of digital mixer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:876171
    • 提供者:shuw
  1. Orthogonization_Module

    0下载:
  2. 接收机数字部分正交混频模块‘ 包括verilog代码 matlab仿真 word文档 testbench代码(Receiver digital part orthogonal frequency mixing module ' Including Verilog code Matlab simulation Testbench code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1798144
    • 提供者:nokkk
搜珍网 www.dssz.com