CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 点亮led

搜索资源列表

  1. cpld

    0下载:
  2. 这是个的VHDL点亮LED的程序,大家一齐分享吧
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:297879
    • 提供者:ljd20045002
  1. de0_epcs_led

    0下载:
  2. de0的 采用epcs sdram 搭建的最小系统点亮led等-de0 with epcs the sdram to build the smallest and the system light led
  3. 所属分类:VHDL编程

    • 发布日期:2012-07-05
    • 文件大小:17060404
    • 提供者:
  1. lightflu

    0下载:
  2. 用Verilog写的一个流水灯程序,实现8位led灯循环点亮!-Written with a flowing light Verilog program, to achieve 8 led light cycle light!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:277048
    • 提供者:ye
  1. LED

    0下载:
  2. VHDL代码控制流水灯交替点亮的入门程序-VHDL Control LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:186018
    • 提供者:gaojian
  1. VHDLLED

    0下载:
  2. VHDL点亮LED的程序. 利用分频器来实现。-VHDL LED PROGRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-23
    • 文件大小:298873
    • 提供者:te
  1. led

    0下载:
  2. 在和众达平台上,基于XV4FPGA开发外围驱动,使得led管循环点亮。-And Jones in the platform, based on the development XV4FPGA external drive, making led tube light cycle.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1635441
    • 提供者:hechao
  1. SRAMreadwritetest

    0下载:
  2. 这是用verilog开发的外部SRAM测试程序,编写了SRAM的读和写,当读写值相同则点亮LED。在实际测试中检测是正确的。对调试SRAM的朋友有一定的参考价值-It is the development of the external SRAM with verilog test procedures, preparation of SRAM read and write, read and write the same value when the light LED. In the act
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:564173
    • 提供者:张俊
  1. ledflower

    0下载:
  2. 1.跑马灯设计 设计要求:控制8个LED进行花式显示,设计4种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3,从中间到两边逐个点亮LED。4种模式循环切换,复位键(rst)控制系统的运行与停止- 1. runs the lantern to design <dnt> the </dnt> design requirements: Controls 8 LED to carry on the floral f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:235417
    • 提供者:雷风
  1. 5

    0下载:
  2. 跑马灯的设计 控制16个LED进行花式显示,设计4种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3从中间到两边逐个点亮LED。4种模式循环切换,复位键控制系统的运行与停止。 输入:连续脉冲,逻辑开关;输出:LED灯。 -Marquee design for artistic control of 16 LED display, designed four kinds of display modes: S0, from
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3641
    • 提供者:李小勇
  1. iic

    0下载:
  2. 跑马灯实验:利用计数器轮流点亮LED灯,实现各种动态效果。-Marquee experiment: the use of counter rotating light LED lights, to achieve a variety of dynamic effects.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1113
    • 提供者:zhangqiang
  1. ledwater

    0下载:
  2. 跑马灯实验:利用计数器轮流点亮LED灯,实现各种动态效果。 -Marquee experiment: the use of counter rotating light LED lights, to achieve a variety of dynamic effects.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:144153
    • 提供者:riversky
  1. fpga_ledtest

    0下载:
  2. 用点亮LED来测试FPGA的代码,EP2C8Q240-Test FPGA by ligth LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:183839
    • 提供者:Jason
  1. LED.Control

    0下载:
  2. 发光二极管控制 利用LP-2900实验仪Altera模块上的PLD器件,以“流水灯”形式点亮A区的L1~L12共12个发光二极管,即使这12个发光二极管周期性地按照1秒的间隔从左向右依次循环点亮。要求用VHDL语言实现。仿真出控制12位发光二极管依次循环点亮的波形。-LED Control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:30299
    • 提供者:duopk
  1. mysopc

    0下载:
  2. 基于友晶DE0开发板做的NiosII最小系统,主要是修正了开发板上闪存连线错误,已经测试过,烧到DE0里面就直接可以跑了。SDRAM和FLASH存储器都可以正常运行,里面还有一个用于点亮LED的小程序,是用软核实现的,从而证明该最小系统可以正常运行,其余的扩展可以自行开发-Friends of grain-based development board to do NiosII DE0 minimum system, mainly Fixed connection error on the de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17147512
    • 提供者:周磊
  1. LED

    0下载:
  2. FPGA VHDL实现 点亮LED灯 VHDL实现-FPGA VHDL lit LED lights VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:207353
    • 提供者:李冰
  1. LED

    0下载:
  2. 利用QuartusⅡ IPCore实现循环点亮LED.-Use Quartus Ii IP Core for recycling lit LED.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1286222
    • 提供者:xh
  1. mcu_led2

    0下载:
  2. 基于vivado平台,使用microblaze搭建一个小系统,并能点亮led(Based on the vivado platform, the use of MicroBlaze to build a small system, and can light LED)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:69550080
    • 提供者:D蒙
  1. user_first_fpga_20170620

    0下载:
  2. 程序可实验开发板上LED循环点亮,且可通过按键控制流动速度,用到了PLL IP 和 计数器模块。(Program with LED flashing circuit uses PLL IP and counter. And extinction rate is controled by key.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. led

    0下载:
  2. 点亮led,通过vhdl代码来使fpga外设led闪烁发光(Light up LEDdsakldsjkdhjksadhkjsadskdalksd)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:19765248
    • 提供者:沐晨qc
  1. LED

    0下载:
  2. 利用verilog语言,在FPGA开发版上点亮LED灯(Using verilog language, LED lights on the FPGA development version)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:182272
    • 提供者:wz2016520157
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com