CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 矩阵按键

搜索资源列表

  1. keyborad

    0下载:
  2. 一个8X8的矩阵键盘的VHDL文件,并且有长安键和短按键之分,即一共能做到128个键值,扫描用的时钟用1ms的就行了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1804
    • 提供者:张风
  1. key_matrix44

    1下载:
  2. FPGA EP1C6Q240C8 4*4键盘模块 4*4矩阵键盘,采用扫描方式检测按键-FPGA EP1C6Q240C8 4* 4 keyboard module 4* 4 matrix keyboard, using scanning detection button
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-23
    • 文件大小:278801
    • 提供者:lan
  1. keyboardcontroller

    0下载:
  2. 键盘控制器VHDL代码 该控制器实时扫描矩阵键盘的行列,当用户有按键按下时,可以定位到对应的按键并产生一个中断信号-Keyboard controller entity -- -- The controller scans the columns, cols, by making a different column logic-0 -- therefor the inputs have to be pull-up high. It processes the input,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7184
    • 提供者:郑佛少
  1. key44

    1下载:
  2. VHDL描述4*4标准矩阵键盘扫描读取并点亮对应的LED灯,试验已经通过。可能还差一些按键的防抖,但不影响整体测试-VHDL descr iption of the standard 4* 4 matrix keyboard scan read and the corresponding LED lights lit the pilot had been adopted. Stabilization may be even worse some of the buttons, it does n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:135969
    • 提供者:
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. TX_SCI

    0下载:
  2. 简单的串口程序,根据矩阵键盘的输入从串口输出按键值 (0-F)-Simple serial program, according to the input matrix keyboard key value from the serial output (0-F)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1546605
    • 提供者:Domo
  1. KEY4X4

    0下载:
  2. 这是4X4的矩阵键盘扫描程序,直接返回按键值-This is a 4X4 matrix keyboard scanner, return the key value directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:14394
    • 提供者:陈泉
  1. KeyScan2

    0下载:
  2. 矩阵键盘扫描程序,可分离出单独按键,封装好的函数接口-Matrix keyboard scanner, a separate detachable key, packaged function interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:14053
    • 提供者:NaroahLee
  1. jianpan

    0下载:
  2. 设计出4*4矩阵键盘对某一按键按下就在数码管显示一个数字。按键从左上角到右下角依次为1,2,…,16。-Design a 4* 4 matrix keyboard press of a button on the digital display a number. Order from left to bottom right button 1, 2, ..., 16.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:25823
    • 提供者:
  1. keyboard

    0下载:
  2. 矩阵键盘扫描代码,实现0 1 2 3 4 5 6 7 8 9 A b c d E F十六个按键-Matrix keyboard scan code to achieve 0 1 2 3 4 5 6 7 8 9 A bcd EF sixteen key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:246804
    • 提供者:cecilia
  1. juzhenjianpan

    1下载:
  2. 4*4矩阵键盘,并在8位数码管上显示按键值-4* 4 matrix keyboard, and 8-bit digital value displayed on the button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:959
    • 提供者:陈凯源
  1. key

    0下载:
  2. 矩阵键盘扫描程序,通过行扫描和列扫描确定按键位置。-Matrix keyboard scanner,Key position determined by line scan and column scanning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:643
    • 提供者:hhy
  1. KEY_IP

    0下载:
  2. 4X4 矩阵按键的ip核 fpga 测试通过-4 x4 matrix key IP core nuclear test by fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:2339
    • 提供者:zhanghai
  1. Matrix_Keyboard

    0下载:
  2. Verilog编写的4x4矩阵键盘扫描代码,可用QurtursII直接打开工程。具体实现的功能为按下按键,数码管可相应显示0、1、...E、F-Verilog prepared 4x4 matrix keyboard scan code and it s directly available in QurtursII . The concrete realization of the function: key is pressed, the digital tube to the corres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:258572
    • 提供者:wicoboy
  1. matrix_keyboard

    0下载:
  2. 数码管显示4×4键盘矩阵按键 矩阵键盘对某一按键按下就在数码管显示一个数字-Digital display 44 keyboard matrix key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1161
    • 提供者:好好好
  1. verilog_矩阵键盘.doc

    0下载:
  2. 工 程:4x4矩阵键盘 日 期:2011-08-3 最后修改: 功 能:键盘 说 明:ROW【3:0】设为输入,COL【3:0】设为输出。 如果没有按键按下,则ROW【3:0】一直被上 拉为高电平,且 COL【3:0】有低电平输出, ROW【3:0】中才有可能低电平输入。
  3. 所属分类:VHDL编程

  1. 1602Pkeyscan

    0下载:
  2. 基于FPGA的lcd1602以及矩阵按键扫描程序(verilog)-FPGA-based lcd1602 and matrix key scanning program (verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1742185
    • 提供者:文杰
  1. key_board

    0下载:
  2. 本设计是实现一个4*4矩阵按键键盘设计,将矩阵按键的按键值通过串口发送到上位机-The design is to achieve a 4*4 matrix keyboard design, the matrix keys to the value of the button to send to the host computer through the serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:652497
    • 提供者:li
  1. src

    0下载:
  2. 基于VHDL的4*4矩阵按键识别,按键与LED相对应,每按一个按键,对应LED亮一次。-Corresponding VHDL-based 4* 4 matrix identification keys, buttons and LED, each press of a button, the corresponding LED lights up again.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:4691
    • 提供者:黄星
  1. pmodkypd_demo_verilog

    3下载:
  2. 对PmodKYPD矩阵键盘实现检测 输出给数码管显示(robust PmodKYPD source code output towards digital)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-12-25
    • 文件大小:93184
    • 提供者:frozenburning
« 12 »
搜珍网 www.dssz.com