CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 管理系统

搜索资源列表

  1. czcgl

    0下载:
  2. 出租车管理系统,为本人毕业设计. 还请大家多多指教了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2216013
    • 提供者:wyqfhls
  1. SSMS

    0下载:
  2. 汇编实习作业汇编语言实现的学生信息管理系统
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2109
    • 提供者:刘海
  1. zhuangtaijijtd_VHDL

    0下载:
  2. 用VHDL 和有限状态机的方法设计了主干道与支干道的交叉路口交通信号灯无人自动管理的控 制系统。将路口红绿灯的各种亮灯情况定义不同的状态,路口状况定义为触发条件,组成有限状态机
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:140415
    • 提供者:wang
  1. eda-vhdl-traficlightctrl

    0下载:
  2. 用VHDL语言描述和实现的一个交通灯控制器,该交通灯系统为一个十字路口交通管理信号灯,用于主干道与乡间公路的交叉路口,要求是优先保证主干道的畅通,因此平时处于“主干道绿灯,乡间道红灯”状态,只有在乡间公路有车辆要穿行主干道时才将交通灯切向“主干道红灯,乡间道绿灯”,一旦乡间公路无车辆通过路口,交通灯又回到“主绿,乡红”的状态。此外,主干道每次通行的时间不得短于1分钟,乡间公路每次通行时间不得长于20秒。而在两个状态交换过程中出现的“主黄,乡红”和“主红,乡黄”状态,持续时间都为4秒。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1132
    • 提供者:黄然
  1. baseonFPGA

    0下载:
  2. 实时电话计费系统是企业、事业单位信息管理的一个重要组成部分。介绍了一种用FPGA 器件实现电话计费系统 的方法, 并给出了设计框图和详细设计过程, 设计采用Verilog_HDL 硬件语言。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:531714
    • 提供者:daifuxin
  1. SRAM-PINGPANG

    1下载:
  2. 超声视频图像需要实时地采集并在处理后在显示器上重建,图像存储器就必须不断地写入数据,同时又要不断地从存储器读出数据送往后端处理和显示[11]。为了满足这种要求,可以在采集系统中设置2片容量一样的SRAM,通过乒乓读写机制来管理。任何时刻,只能有1片SRAM处于写状态,同时也只有1片SRAM处于读状态。工作期间,2片SRAM都处于读写状态轮流转换的过程,转换的过程相同,但是状态错开,从而保证数据能连续地写人和读出祯存.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1214
    • 提供者:smj1980
  1. 8051ip

    0下载:
  2. fpga 51核,这个是我老师写的,现在就是输入输出io是分别定义的,希望能给大家提供一点帮助!-fpga 51 nuclear, this is written by my teacher, this is the input and output, respectively, the definition of io is the hope that we can provide a little help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13709336
    • 提供者:张双洋
  1. CyclonePLL

    1下载:
  2. Cyclone™ FPGA具有锁相环(PLL)和全局时钟网络,提供完整的时钟管理方案。Cyclone PLL具有时钟倍频和分频、相位偏移、可编程占空比和外部时钟输出,进行系统级的时钟管理和偏移控制。Altera® Quartus® II软件无需任何外部器件,就可以启用Cyclone PLL和相关功能。本文将介绍如何设计和使用Cyclone PLL功能。 PLL常用于同步内部器件时钟和外部时钟,使内部工作的时钟频率比外部时钟更高,时钟延迟和时钟偏移最小,减小或调整时钟
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:554027
    • 提供者:裴雷
  1. Fingerprint_Identify

    3下载:
  2. 本项目名称是:基于FPGA的指纹识别模块设计。 主要内容为:本模块采用xilinx公司的Spartan 3E系列XC3S500E 型FPGA作为核心控制芯片,通过富士通公司的MFS300滑动式电容指纹传感器对指纹图象进行提取,然后对提取的指纹图像进行灰度滤波、图像增强、二值化、二值去噪、细化等预处理,得到清晰的指纹图象,再从清晰的指纹图象中提取指纹特征点,存入外部FLASH作为建档模板。指纹比对时,采用同样的方法获得清晰的指纹图像,建立比对模板,然后将比对模板与建档模板利用点模式匹配
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-08
    • 文件大小:191002
    • 提供者:xiaoxu
  1. skills_of_ModelSim

    0下载:
  2. modelsim使用技巧大全,包括使用教程,例子,心得等等。详细描述了如何通过modelsim进行仿真设计,是初学者需要的资料-Encyclopedia of use modelsim skills, including the use of tutorials, examples, experiences and so on. Described in detail how to design modelsim simulation is the need for information fo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1627201
    • 提供者:二米阳光
  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. _8259A

    1下载:
  2.   8259A是专门为了对8085A和8086/8088进行中断控制而设计的芯片,它是可以用程序控制的中断控制器。单个的8259A能管理8级向量优先级中断。在不增加其他电路的情况下,最多可以级联成64级的向量优先级中断系统。8259A有多种工作方式,能用于各种系统。各种工作方式的设定是在初始化时通过软件进行的。 在总线控制器的控制下,8259A芯片可以处于编程状态和操作状态.编程状态是CPU使用IN或OUT指令对8259A芯片进行初始化编程的状态- 8259A is designed t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:764839
    • 提供者:keven
  1. MACHINE

    0下载:
  2. vhdl 实现的洗衣机管理系统 仿真通过的 -vhdl simulation to achieve the washing machine management system adopted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:611362
    • 提供者:刘勇
  1. danpianji.doc

    0下载:
  2. VHDL语言设计数字系统,VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language 的缩写,意思是超高速集成电路硬件描述语言。本课程设计分析了现代城市交通控制与管理问题的现状,结合城市交通的实际情况阐述了交通灯控制系统的工作原理。编写了程序控制8255A可编程并行接口芯片,使红、绿、黄发光二极管按照十字路口交通信号灯的规律交替发光,模拟了交通信号灯简单的工作。-VHDL language design digit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:314519
    • 提供者:liang
  1. can_latest[1].tar

    0下载:
  2. CAN,全称“Controller Area Network”,即控制器局域网,是国际上应用最广泛的现场总线之一。最初,CAN被设计作为汽车环境中的微控制器通讯,在车载各电子控制装置ECU之间交换信息,形成汽车电子控制网络。比如:发动机管理系统、变速箱控制器、仪表装备、电子主干系统中,均嵌入CAN控制装置。 -CAN, full name of the " Controller Area Network" , the Controller Area Network, is int
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1149787
    • 提供者:zhaohaiting
  1. esign

    0下载:
  2. FPGA的机载音频管理系统通信测试卡设计-FPGA on-board audio card management system Communications Test Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:634558
    • 提供者:pc
  1. trafficlight

    0下载:
  2. 一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行- An intersection traffic c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:387801
    • 提供者:patient sun
  1. 学生信息管理小系统

    0下载:
  2. 10、一个学生信息管理小系统,可以增加、修改、删除、浏览学生信息,需要将数据保存到文件中,下次可以打开继续浏览 11、使用串口通信的双人聊天程序-10, a student information management of small systems can add, modify, delete, view student information, you need to save the data to a file, you can open the next Continue 1
  3. 所属分类:VHDL编程

    • 发布日期:2017-11-08
    • 文件大小:40850
    • 提供者:Haibin Zhang
  1. traffic_control2

    0下载:
  2. 交通红绿灯管理系统。用一片HDPLD和若干外围电路实现十字路口交通管理器。该管理器控制甲乙两道的的红黄绿三色灯,指挥车辆和行人安全通行。该交通管理器是由控制器和受其控制的三个定时器及六个交通管理灯组成。-Circuit crossroads traffic manager. The manager controls the B two red-yellow-green lights, directing vehicles and pedestrians safe passage. The Tra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:91090
    • 提供者:琚清
  1. FPGA_JOW

    0下载:
  2. 本设计为学校打铃管理系统,使用VHDL设计,根据打铃功能不同输出不同的音乐,工作模式包括正常上课模式、考试模式、放假模式-The design management system for the school bell, the use of VHDL to design, according to different output different music in Bell functions, working modes including normal class mode, test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2441479
    • 提供者:li
« 12 »
搜珍网 www.dssz.com