CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 车牌

搜索资源列表

  1. Based-on-FPGA-and-DSP-lpr

    0下载:
  2. 基于FPGA和DSP的车牌识别系统的硬件设计与实现-Based on FPGA and DSP license plate identification system hardware design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1656555
    • 提供者:sunbo
搜珍网 www.dssz.com