CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - DA 正弦波

搜索资源列表

  1. sinwave

    0下载:
  2. 用verilog HDL产生正弦阶梯波。加da即可输出正弦波
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1251
    • 提供者:chenmao
  1. DDS.rar

    0下载:
  2. 基于EPM7128的数字合成信号发生器(DDS)设计。通过对EPM7128编程,组合出地址累加器、数据锁存器、256*8位ROM空间。外接DA可实现正弦波输出功能,EPM7128-based signal generator for digital synthesis (DDS) design. EPM7128 through programming, the combination of address accumulator, data latches, 256* 8 ROM space.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:353854
    • 提供者:xiaoyu
  1. high_speed_tap8_DDS

    0下载:
  2. 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。-Verilog prepared with high-speed 8-way parallel dds modules for use with high-speed da (1ghz or above) interface have any frequency sine wave, the module has been proof for the prod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4947
    • 提供者:yangyu
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. tlc5620_out_sin

    1下载:
  2. 用FPGA操纵TLC5620DA转换器,用VHDL语言编写,调试通过,并输出正弦波。-Manipulation TLC5620DA converter with FPGA using VHDL language, debugging through, and the output sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3899
    • 提供者:wangnan
  1. pwmtest

    0下载:
  2. 赛灵思fpga 通过PWM的方式实现软件DA输出正弦波-fpga pwm da
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:223060
    • 提供者:王明
  1. DDS_8b_zy_02

    0下载:
  2. 该程序实现FPGA实现DDS功能,配合单片机控制可实现正弦波输出。以及配合外围电路改变DA参考电压,可实现输出电压可调。-FPGA implementation of the program to achieve DDS functions, control can be achieved with sine wave output of the microcontroller. Changes in the external circuit with the DA and the refere
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:566447
    • 提供者:yang
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. da--sine

    0下载:
  2. 利用dds方法,通过DA输出正弦波,频率1KHz 频率根据代码可调-DA output sine wave frequency 1KHz (Verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3603114
    • 提供者:范子剑
  1. Daul_Signal_output1

    0下载:
  2. 双通道信号发生器设计,可以产生方波、正弦波,三角波,并设计了DA-Dual channel Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:943688
    • 提供者:凯一
  1. DA5

    0下载:
  2. SPARTAN SAN STARTER KIT 上的DA控制器的驱动程序。该DA为LTC2624,SPI接口。已用正弦波数据测试过了,请放心下载。-SPARTAN SAN STARTER KIT of DA controller driver. The DA for LTC2624, SPI interface. With sine wave data has been tested, please feel free to download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:999762
    • 提供者:penghai
  1. ltc2614_spi_cosx32768

    0下载:
  2. 基于xilinx spartan 3e 开发板的正弦波信号发生,通过fpga查找ROM正弦信号表,将数字信号通过spi接口写入开发板上的12位DA芯片ITC2614。通过DA转换产生正弦波。ROM深度为32768,表示一个正弦周期最多可以有32768个点。可以通过修改相位累积值和ROM表来设定输出正弦波的频率。程序本人编写和上板实测。-Sine wave signal occurred on xilinx spartan 3e development board fpga Find ROM si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1512952
    • 提供者:李华
  1. _12_DA[TLC5615]_1

    0下载:
  2. 通过DA输出正弦波,频率1KHz ad采用的是TLC5615 输出的正弦波与理想波形基本一样-DA output sine wave frequency 1KHz The ad is TLC5615 The sine wave output with the ideal waveform is basically the same
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:2993360
    • 提供者:江风
  1. chenxu

    0下载:
  2. 利用状态机设计正弦波信号发生器: //输出4位接4位的DA转换,即4位数字信号输出可直接通过DA转换为模拟信号。 -The use of state machine design is the sine wave signal generator:// output 4 connects a 4-bit DA converter, i.e. the 4-bit digital signal output can be directly through the DA converte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:3064
    • 提供者:hehe
  1. TLC5615_1k

    0下载:
  2. 进阶实验_12_DA[TLC5615]_1:通过DA输出正弦波,频率1KHz-Advanced experimental _12_DA [TLC5615] _1: By DA output sine wave, frequency 1KHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3311093
    • 提供者:建宇
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. NCO

    0下载:
  2. 基于FPGA的DDS设计,通过外接DA转换器输出稳定的正弦波,方波和三角波,可单独产生时钟,不必借助硬件连接,包含寄存器程序,累加器程序和时钟发生电路等,以及顶层设计原理图-The DDS FPGA-based design, through an external DA converter output stable sine wave, square wave and triangular wave, can produce a single clock, without the help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5834111
    • 提供者:孙雨晗
  1. DDS

    0下载:
  2. DDS的FPGA实现(VHDL),只可调频,调幅可于外部DA实现。(内附三角波、正弦波、方波的rom调用)-DDS on FPGA (VHDL), only FM, AM can be implemented in an external DA. (With triangular wave, sine wave, square wave rom call)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:546860
    • 提供者:WSong
  1. A4_Oscilloscope_Top

    1下载:
  2. 数字示波器,利用AD、DA和VGA三个外设来实现简易示波器,DA外设发送正弦波给AD外设,AD外设解析成数字信号将数据送给VGA外设进行显示。在VGA上可以看到DA外设发送的波形、波形频率和波形峰峰值。-Digital oscilloscope, the use of AD, DA and VGA three peripherals to achieve a simple oscilloscope, DA peripheral send sine wave to AD peripherals,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:19826138
    • 提供者:宣金涛
  1. Da

    0下载:
  2. DA外设实验,将数字信号输入给DA外设,DA外设将会转换成模拟信号进行输出1KHz的正弦波。需要使用示波器进行测量-DA peripheral experiment, the digital signal input to the DA peripherals, DA peripherals will be converted to analog signals, output 1KHz sine wave. Measurements need to be carried out using a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:3429376
    • 提供者:宣金涛
« 12 »
搜珍网 www.dssz.com