CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - EEprom读写

搜索资源列表

  1. eeprom

    1下载:
  2. eeprom的Verilog HDL源代码,含eeprom的读写!Quartus II5.0平台测试通过!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:521016
    • 提供者:陈锋
  1. i2cEEPROM.rar

    0下载:
  2. 使用VHDL编写的操作EEPROM来控制iic的读写操作,很方便,Use VHDL to prepare the operation to control the IIC EEPROM read and write operation, it is convenient
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:985320
    • 提供者:熊云川
  1. EEPROM_RD_WR.rar

    0下载:
  2. 本程序包含:EEPROM的功能模型(eeprom.v)、读/写EEPROM的verilog HDL 行为模块(eeprom_wr.v)、信号产生模块(signal.v)和顶层模块(top.v) ,这样可以有一个完整的EEPROM的控制模块和测试文件,本文件通过测试。,This procedure includes: EEPROM of the functional model (eeprom.v), read/write EEPROM acts of verilog HDL modules (e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:109517
    • 提供者:
  1. AVR-program

    0下载:
  2. ATmega 16的各种代码含 AD转换 AT24C02的I2C DS1302 EEPROM读写 PWMO控制LED 按键 定时器0的快速PWM 定时器0的相位修正PWM 定时器0的溢出 定时器1的捕捉 异步串口UART 同步SPI-ATmega 16 a variety of code containing AD converter AT24C02 I2C DS1302 EEPROM read and write PWMO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:321278
    • 提供者:陈杰
  1. verilog

    1下载:
  2. 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:8651
    • 提供者:andy
  1. e2prwctrl

    0下载:
  2. EEPROM芯片读写控制器的VHDL语音程序设计-EEPROM chip to read and write controller VHDL Voice program design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1323
    • 提供者:lalo
  1. spi_eeprom_conf

    0下载:
  2. 实现spi接口的传输,并多外接EEPROM读写数据-Spi interface to achieve the transfer, and multiple external EEPROM read and write data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2040
    • 提供者:guoguo
  1. i2c

    0下载:
  2. 用VHDL写的I2C控制器,可以读写EEPROM,比较经典。-Written with VHDL I2C controller, you can read and write EEPROM, more classic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3581
    • 提供者:wwww
  1. xiayuwen

    0下载:
  2. 本程序是夏宇闻老师的verilog数字系统设计教程中的E2PROM完整程序文件,包括信号产生模块,E2PROM读写模块,E2PROM模拟模块,并且在ISE上运行成功,测试正确,modelsim仿真成功-This program is the Xia Yu Wen digital system design tutorial E2PROM complete file, including the signal generation module, E2PROM reader module, E2P
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:49997
    • 提供者:王建伟
  1. eeprom_i2c

    0下载:
  2. Verilog 编写的eeprom的i2c读写功能-Written in Verilog the i2c eeprom read and write capabilities
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:271597
    • 提供者:何凌
  1. EEPROM

    0下载:
  2. EEPROM读写实验,通过I2C进行读写 熟悉并掌握(存储芯片AT2402)的操作,有保存和读取操作 -EEPROM read and write experiments, read and write familiar with and through the I2C master (memory chips AT2402) operation, a save and read operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:840129
    • 提供者:李亚猛
  1. wr

    0下载:
  2. eeprom AT28C64B 的读写程序-read and write program eeprom AT28C64B
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:402494
    • 提供者:一杯
  1. EEPROM

    0下载:
  2. EEROM的读写程序并在数码管显示送入的数。程序可以应用-The EEROM literacy program and in the digital display the incoming number. Program can be applied
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:72294
    • 提供者:朱一
  1. 2011_11_21_EEPROM_WR

    0下载:
  2. EEPROM读写控制器,源代码,仿真时序图,以及仿真结果-EEPROM read and write controller , source code, simulation timing diagram and simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:348313
    • 提供者:gongtao
  1. eeprom

    0下载:
  2. 实现I2C协议下EEPROM存储的数据读写控制-Under I2C protocol to achieve read and write data stored in EEPROM control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:126435
    • 提供者:蔡鹏程
  1. EEPROM

    0下载:
  2. verilog编写的EEPROM读写操作程序 有流水灯显示-EEPROM write verilog written operating procedures have water lights display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:304745
    • 提供者:maowentao
  1. AT24CXX

    0下载:
  2. 使用标准VHDL编写的I2C协议,用于AT24XX系列的EEPROM读写。-use VHDL language to implement IIC protocol, which is able to read or write eeprom.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:493790
    • 提供者:林铎
  1. e2prom_w_r

    0下载:
  2. FPGA的 EEPROM 读写测试代码, 包括源代码和测试代码-test bench of EEPROM write and read for FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:81492
    • 提供者:Johnny
  1. eeprom_test

    0下载:
  2. eeprom读写,经典的iic接口,学习状态机的写法(read and write eeprom with iic interface)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1816576
    • 提供者:konan007
  1. EEPROM

    0下载:
  2. xilinx fpga 读写eeprom例程(Xilinx FPGA read and write EEPROM routine)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:55296
    • 提供者:amzhy8
« 12 3 »
搜珍网 www.dssz.com