CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - crc16 ccitt

搜索资源列表

  1. PCME1

    0下载:
  2. 通常的CRC算法在计算一个数据段的CRC值时,其CRC值是由求解每个数值的CRC值的和对CRC寄存器的值反复更新而得到的。这样,求解CRC的速度较慢。通过-#define CRCCCITT 0x1021   #define CCITT-REV 0x8408   #define CRC16 0x8005   #define CRC16-REV 0xA001
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:34642
    • 提供者:malimin
  1. FPGA_CRC

    1下载:
  2. 用Quartus II 13.0 (32-bit)实现并行计算8位数据宽度的CRC16-CCITT循环冗余码,verilog HDL源代码,并有本人手工计算的原理。本程序已经过ModelSim-Altera模拟,仿真波形文件都在本文件内。-Calculated using the Quartus II 13.0 (32-bit) parallel 8-bit data width CRC16-CCITT cyclic redundancy code, verilog HDL source cod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1191895
    • 提供者:yuantielei
搜珍网 www.dssz.com