CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - dct 变换

搜索资源列表

  1. dct

    0下载:
  2. 离散余弦变换的verilog源代码,经过验证可实现
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:27161
    • 提供者:罗伟
  1. DCT_1D

    0下载:
  2. 一维DCT变换的verilog源码,可用于JPEG算法优化的参考。程序中用到的算法称为“扭卷积”,可参考相关IEEE paper
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:54458
    • 提供者:楚天
  1. dct

    0下载:
  2. 2维DCt源码,可以实现8乘8点数据的2维DCT变换
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5550
    • 提供者:jz
  1. two_d_dct_serial

    1下载:
  2. altera公司提供的适用于包涵DSP内核的FPGA的二维DCT变换源码,语言是:verilog 性能不错,不过资源消耗有点大,可以用来学习多项式变换的DCT算法-ALTERA companies covered in the application of FPGA DSP core 2D DCT source language is : Verilog performance is good, but a bit large consumption of resources can be us
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24588
    • 提供者:猪猪
  1. dct.rar

    0下载:
  2. 离散余弦变换的设计源代码以及测试源代码和仿真图,Design of discrete cosine transform source code and test source code and simulation plan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:342462
    • 提供者:cong
  1. butterfly.rar

    0下载:
  2. 蝶形运算,可用于DCT变换,FFT变换的模块,Butterfly computation, can be used for DCT transform, FFT transform module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:743
    • 提供者:过时无双
  1. JPEG

    0下载:
  2. 本文首先介绍了静态图像压缩(JPEG)编码算法的基本原理、压缩的实现过程及其重要过程的离散余弦变换(DCT)算法的实现原理及软件实现的例程,其次着重介绍了压缩过程中的DCT、量化和编码三个重要步骤的实现原理。-This paper describes the static image compression (JPEG) coding algorithm is the basic principle of compression process of the implementation pro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41540
    • 提供者:xuai
  1. DCT

    0下载:
  2. 用于视频图像编码的8×8DCT变换,可用于MPEG4.H263等VHDL编程-For video images encoded 8 × 8DCT transform, can be used to MPEG4.H263 such as VHDL Programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:108768
    • 提供者:zs
  1. dct-code

    0下载:
  2. 离散余弦变换的VHDL实现,不错的代码和方法-Discrete cosine transform VHDL realization of good code and methods
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:31083
    • 提供者:宋雪兵
  1. dct2

    1下载:
  2. 这个是一个基于FPGA的数字图像的整数DCT变换程序,程序高性能地实现了2维DCT变换。-This is an FPGA-based digital image of the integer DCT transform process and procedures to achieve high-performance 2-D DCT transform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:418921
    • 提供者:jyb
  1. two_d_dct_serial

    0下载:
  2. 二维DCT变换,采用查找表的方法实现算法,分别通过列变换,再通过行变换,通过加法器乘法器以及流水线技术得出更快的结果!-two-dimention DCTtransform,the algorithm was implemented by look up table,via row trasforming and colum trasforming respectively
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:23110
    • 提供者:chenguohao
  1. dct

    0下载:
  2. 用vhdl语言来实现了dct离散余弦变换-With VHDL language to achieve the optimal discrete cosine transform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7520
    • 提供者:yaxin324
  1. yiweiDCTbianhuan

    0下载:
  2. 一维DCT变换的Verilog HDL源程序,在ISE中已经通过编译,可以参考里面的文档。-One-dimensional DCT transform Verilog HDL source code, in the ISE has been through the compilation, you can refer to inside the document.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:421858
    • 提供者:匡匡
  1. ch3_dct

    2下载:
  2. fpga dct变换,用以视频压缩和处理图像-fpga dct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2116
    • 提供者:guqiutao
  1. dct_verilog

    0下载:
  2. 用FPGA实现dct变换。verilog语言实现,在quartus9.0中验证,含整个工程-dct transform verilog language in quartus9.0 verify, with the entire project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1224473
    • 提供者:ys
  1. DCT

    0下载:
  2. 整数DCT变换的设计与实现,希望对大家有所帮助-Integer DCT transform the design and implementation, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3923
    • 提供者:sun
  1. s22_DCT

    0下载:
  2. 这是一个DCT变换的VERILOG代码,欢迎下载-This is a code of DCT transformation in verilog ,welcome to download!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:409878
    • 提供者:lipeng
  1. OneD_DCT8

    1下载:
  2. 一维DCT变换,使用Verilog HDL语言实现。有SYnplify编译脚本-One-dimensional DCT, using the Verilog HDL language to achieve. The SYnplify compiled scr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2085
    • 提供者:海峰
  1. Ttwo_d_dct_sew

    0下载:
  2. 二维DCT变换,采用查找表的方法实现算法,分别通过列变换,,再通过行变换,通过加法器乘法器和流水线技术得出更快的结果! -2D DCT algorithm using look-up table method, respectively, by column transform, and then transform through the line faster results obtained by the adder multipliers and pipelining techniq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-14
    • 文件大小:23552
    • 提供者:
  1. 2D的DCT变换

    1下载:
  2. 二维DCT变换,附源码以及testbench,以及相应的数学知识
  3. 所属分类:VHDL编程

« 12 »
搜珍网 www.dssz.com