CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 数值算法/人工智能 搜索资源 - 小项目

搜索资源列表

  1. EightQueens

    0下载:
  2. 数据结构课程设计-八皇后算法的实现。 使用链表堆栈来实现的,涉及到一些界面编程的内容。具体也不太记得了,因为这是一年前做的了。希望广大朋友能支持一下,提供一些游戏源码参考一下。本人这学期的项目实践是开发斗兽棋这个小游戏。-curriculum design data structure-eight of the Queen's algorithm to achieve. Use Chain stack to achieve, involving some interface prog
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:138093
    • 提供者:李冰海
  1. buscontrol

    0下载:
  2. 导师刚刚让我做的小项目,是智能公交站台的一部分,其中有串口通讯,和vc类之间通讯,还有改变控件的颜色等-instructors just let me do small projects, the platform is smart transit, which are part of a serial communications, and communications between vc category, there are controls to change the color, e
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:80932
    • 提供者:王志强
  1. 模式匹配小算法(支持通配符)

    0下载:
  2. 由于项目需要,最近写了一个模式匹配算法,支持%(代表一个或者任意个字符),_(代表一个字符)通配符号,自己在vc6下测试通过.
  3. 所属分类:数值算法/人工智能

    • 发布日期:2010-09-06
    • 文件大小:844
    • 提供者:tjltail
  1. Fix_Computer

    0下载:
  2. 关于人工智能的一个小项目,用CILPS工具写成-On a small project in artificial intelligence, using a tool written in CILPS
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-10
    • 文件大小:1798
    • 提供者:adam goo
  1. LastWave_3_0.windows

    0下载:
  2. LastWave,开源项目,信号处理,跨平台,主要以小波应用为主,涉及图像处理和音频处理,非常好的源代码-LastWave is a signal processing oriented command language. The 3.0 version is based on the GNU wxWidgets library. It allows LastWave to be truly multiplatform : it runs on both X11/Unix (or Li
  3. 所属分类:Algorithm

    • 发布日期:2017-06-11
    • 文件大小:19403612
    • 提供者:danny
  1. beiyesifenbu

    0下载:
  2. 分类判别中,bayes判别的确具有明显的优势,与模糊,灰色,物元可拓相比,判别准确率一般都会高些,而BP神经网络由于调试麻烦,在调试过程中需要人工参与,而且存在明显的问题,局部极小点和精度与速度的矛盾,以及训练精度和仿真精度间的矛盾,等,尽管是非线性问题的一种重要方法,但是在我们项目中使用存在一定的局限,基于此,最近两天认真的研究了bayes判别,并写出bayes判别的matlab程序,与spss非逐步判别计算结果一致。-Classified Identifying, bayes discrim
  3. 所属分类:Algorithm

    • 发布日期:2017-04-14
    • 文件大小:3951
    • 提供者:lili
  1. ceshidll

    0下载:
  2. 将最小二乘法拟合程序做成动态链接库DLL文件,然后从VC界面调用该DLL文件。在实际项目应用中,这种方法很实用。-Least squares fitting procedure to create a dynamic link library DLL files, and then call the VC interface DLL file. In the actual project applications, this method is very practical.
  3. 所属分类:Algorithm

    • 发布日期:2017-05-03
    • 文件大小:1233904
    • 提供者:蓝逸尘
  1. F040

    0下载:
  2. 0851F040最小系统原理图,Protel99格式,方便与做开发板或坐开发项目的使用-0851F040 minimum system schematic diagram, Protel99 format, convenient and make development board or sitting in the use of development projects
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-31
    • 文件大小:38116
    • 提供者:
  1. jsp

    0下载:
  2. 已完成的jsp小项目vote,能够完成投票功能-Jsp small projects have been completed vote
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-29
    • 文件大小:35630
    • 提供者:韦魏
  1. heading_direction

    0下载:
  2. 项目实现司南的指针计算,结合磁和加速度两个传感器的小算法实现-Sinan calculated to achieve the target project, combining the two magnetic and acceleration sensors for small algorithm
  3. 所属分类:Algorithm

    • 发布日期:2017-04-07
    • 文件大小:1172
    • 提供者:dustin
  1. vcPPsourcecode7

    1下载:
  2. 600个大型项目源码之一: MATLAB与VC++混合编程实现一维小波多尺度分解 小波分析世纪80年代开始发展成熟起来的一个数学分支,其应用领域十分广泛,并逐步成为信号分析的又一有力工具。MATLAB的小波工具箱为我们提供了小波多尺度分解函数,方便了我们对小波的使用。但是用它所编写的软件不能脱离MATLAB编程环境在Windows平台下直接运行,代码执行效率低下,运行时占较多的系统资源,不能达到某些用户的需求。 VC++是由美国Microsoft 公司开发的可视化C/C++集
  3. 所属分类:matlab

    • 发布日期:2017-04-24
    • 文件大小:230796
    • 提供者:smith
  1. cms6

    0下载:
  2. Struts+spring+hibernate整合起来的一个小项目,绝对给力看看吧-Struts+spring+hibernateTogether a small program, the absolute to look at it
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-06-13
    • 文件大小:21060644
    • 提供者:liyixuan
  1. nonlinear-encoding

    0下载:
  2. C语言实现的一个小项目编程的例子,功能是实现非线性算法的编程,二分法、蒙特卡洛发等;不仅有助于学习算法编程,还可以学习C语言项目编程的方法,适用于初学者。编程环境是codeblocks.建议使用codeblocks打开程序。-An example of a small project of C programming language, the function is to achieve non-linear programming algorithm, dichotomy, Monte Ca
  3. 所属分类:Algorithm

    • 发布日期:2017-04-29
    • 文件大小:39231
    • 提供者:汪中华
  1. machinelearninginaction

    0下载:
  2. 一共有15个小项目,每个项目都是具体可运行的机器学习例子,包括识别图片,预测数据等。(There are 15 small projects, each of which is a concrete example of machine learning, including identifying pictures, predicting data, and so on.)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2017-12-22
    • 文件大小:41528320
    • 提供者:Jupiter1992
  1. 4.遗传算法求解最优解最大值项目

    1下载:
  2. genmain05为运行程序,其余为功能函数,应该将这些文件都放在目录中, 才能正确执行genmain05。本程序可以实现遗传变异求函数最优解,最大值或最小值(Genetic algorithm for maximum value)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-24
    • 文件大小:4096
    • 提供者:AllenHong
  1. lar regression

    1下载:
  2. 最小角回归算法,再进行回归的过程中,可以筛选出重要的项目。(Compared with the linear regression,it's a more efficient method ,which can soting the component with different importance.)
  3. 所属分类:matlab例程

    • 发布日期:2018-01-03
    • 文件大小:52224
    • 提供者:慢看花舞
  1. Arithmetic

    0下载:
  2. 一个深度学习的小项目,有界面,可以跑,svm较准(A small project of deep learning, there is an interface, can run, SVM is more accurate)
  3. 所属分类:人工智能/神经网络/深度学习

    • 发布日期:2018-01-11
    • 文件大小:97280
    • 提供者:wang_nuaa
  1. 代码脚本

    0下载:
  2. 代码脚本:集合算法、爬虫、人工智能小项目、数据结构算法、等等(Code scr ipts: set algorithms, crawlers, AI small projects, data structure algorithms, and so on)
  3. 所属分类:数据结构

    • 发布日期:2020-02-25
    • 文件大小:24576
    • 提供者:Debroon
  1. pytorch-openpose-master

    5下载:
  2. 本例程是我研究生阶段做的一个小项目,该项目用pytorch的深度学习框架来进行人体姿态识别,能够实现头部和身体的骨架识别!图像处理方面加入了OpenCV包进行相关的处理,希望能帮助大家!(175/5000 This routine is a small project that I did in the graduate stage. The project uses pytorch's deep learning framework to recognize human body postu
  3. 所属分类:人工智能/神经网络/深度学习

    • 发布日期:2020-09-29
    • 文件大小:3126272
    • 提供者:linmi
  1. CNN+LSTM EGG项目

    7下载:
  2. 使用LSTM +CNN对EGG 进行分类预测,一维CNN提取数字信息特征,LSTM 进行分类预测(CNN+LSTM EGG sinals)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2021-01-31
    • 文件大小:2610176
    • 提供者:Beams
« 12 »
搜珍网 www.dssz.com