CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 数值算法/人工智能 搜索资源 - antenna

搜索资源列表

  1. antenna-pattern1

    0下载:
  2. 采用口面积分的方法来计算天线方向图,天线设计常用-Orifice area divided by the method to calculate the antenna pattern, antenna design used
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:21188
    • 提供者:xing
  1. LMS

    1下载:
  2. matlab中的LMS算法 采用LMS最小均方算法进行智能天线的仿真-Matlab LMS algorithm Adopt LMS least mean-square algorithm simulation of smart antenna
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:853
    • 提供者:edison
  1. antenna

    0下载:
  2. 此程序适合平行二元阵天线,三维的,方向图乘积定理。-This procedure is suitable parallel binary array antenna, three-dimensional, the direction of graph product theorem.
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:29917
    • 提供者:liqi
  1. Chapter-05

    0下载:
  2. Loop antenna radiation pattern Using Matlab
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:9221
    • 提供者:sreaxo
  1. Smart-Antenna-System

    0下载:
  2. 智能天线仿真 初级仿真 初级仿真-Smart Antenna Simulation
  3. 所属分类:Algorithm

    • 发布日期:2017-03-27
    • 文件大小:9622
    • 提供者:乱舞
  1. correlation

    0下载:
  2. 二元天线阵在矩形巷道中接收信号的相关性的matlab仿真-Element antenna array in a rectangular tunnel in the received signal correlation matlab simulation
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:760
    • 提供者:Liu Fengxue
  1. ArrayPatternSynthesis

    3下载:
  2. 该程序采用matlab开发,主要功能为开发相控阵雷达天线方向图综合。-The program developed using matlab, the main function for the development of phased array radar antenna pattern synthesis.
  3. 所属分类:matlab例程

    • 发布日期:2014-01-13
    • 文件大小:456243
    • 提供者:braverock
  1. smart-antenna

    0下载:
  2. 智能天线的参数 算法的matlab程序-The parameters of the smart antenna algorithm of matlab
  3. 所属分类:matlab

    • 发布日期:2017-06-14
    • 文件大小:22265452
    • 提供者:
  1. loop-antenna

    2下载:
  2. 环天线的建模以及天线表面的电流分布三维图,小环的辐射强度、天线阻抗以及辐射方向图-Loop antennas and antenna modeling three-dimensional surface current distribution map, a small ring of radiation, the antenna impedance and radiation pattern
  3. 所属分类:matlab例程

    • 发布日期:2013-09-25
    • 文件大小:27085
    • 提供者:李芳华
  1. Antenna-load

    0下载:
  2. 集总元件电阻、电感、电容的模拟,用不同大小的电感和电容加载,看天线的辐射方向图,从而分析加载天线的特性-Lumped resistance, inductance, capacitance of the simulation, using different sizes of inductors and capacitors to load to see if the antenna radiation pattern, to analyze the characteristics of the
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:292208
    • 提供者:李芳华
  1. patch-antenna

    0下载:
  2. matlab编写的程序,求解阵列天线方向图、阻抗匹配以及电流分布等-matlab program, array antenna pattern、impendance matching and current distribution
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:281440
    • 提供者:snowyaa
  1. Antenna-near-metallic-cylinder

    0下载:
  2. Antenna near conducting cylinder-Analytic and Numeric(FDTD)
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:139508
    • 提供者:maryam
  1. GPS-antenna

    0下载:
  2. GPS天线模型数据,相减对比程序,将需要的数据进行分析-GPS antenna-model compare
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:35827
    • 提供者:yanfei
  1. 20101002-Array-Directivity-Pattern

    0下载:
  2. it shows the Antenna Directivity Pattern by using matlab
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2225
    • 提供者:ITSFRED
  1. point23

    0下载:
  2. Antenna and EM Modeling with MATLAB
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:664
    • 提供者:ahdali
  1. smart-antenna

    0下载:
  2. smart antenna ebook with MATLAB coding
  3. 所属分类:matlab

    • 发布日期:2017-05-11
    • 文件大小:2693574
    • 提供者:namrah
  1. PSO-in-synthesis

    0下载:
  2. 介绍了粒子群算法的原理和流程,研究了 如何将这种方法运用于天线阵的方向目综合上,给出了PSo算法在综合阵列方向图 的应用实例-this paper introduces a conceptual overview and detailed explanation of the PSO algorithm,as well as how it can be used for antenna array design,and presents several resuIts optimized
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:358167
    • 提供者:swizon
  1. antenna

    0下载:
  2. Antenna show, how is working
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:516
    • 提供者:sezai
  1. microstrip-antenna-analysis.

    0下载:
  2. 该文件中包含了线馈矩形微带天线的分析的算法和程序-microstrip antenna analysis
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:81486
    • 提供者:xylmgt
  1. Adaptive-antenna-seminar-report-ME-2-modified1.ra

    0下载:
  2. adaptive smart antenna
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-31
    • 文件大小:626271
    • 提供者:darshit
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com