CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 数值算法/人工智能 搜索资源 - msk

搜索资源列表

  1. MSK调制的matlab仿真实现

    2下载:
  2. 通过CPM调制框图生成MSK调制
  3. 所属分类:matlab例程

    • 发布日期:2010-10-28
    • 文件大小:40237
    • 提供者:shiww227
  1. msk.rar

    3下载:
  2. msk的malab仿真,msk的调制解调程序,MSK s malab simulation, msk modem procedures
  3. 所属分类:matlab

    • 发布日期:2014-05-19
    • 文件大小:6254
    • 提供者:xyg
  1. msk.rar

    1下载:
  2. MSK调制算法,包括了附加相位的算法和一系列的波形图,MSK modulation algorithm, including an additional phase of the algorithm
  3. 所属分类:matlab

    • 发布日期:2014-11-29
    • 文件大小:1061
    • 提供者:
  1. MSK

    0下载:
  2. 介绍了MSK调制解调仿真程序,刚作出来的!-Introduced the MSK modulation and demodulation simulation program, just to come!
  3. 所属分类:matlab

    • 发布日期:2016-06-05
    • 文件大小:821
    • 提供者:lixstar
  1. msk

    1下载:
  2. 通信系统中,连续相位调制(msk)与解调的MATLAB实现。-Communication systems, continuous-phase modulation (msk) and demodulation of MATLAB to achieve.
  3. 所属分类:matlab

    • 发布日期:2014-11-29
    • 文件大小:1209
    • 提供者:邪邪
  1. MSK

    0下载:
  2. msk demodulation technique using OQPSK
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:32600
    • 提供者:khawar
  1. MSK

    0下载:
  2. the file is matlab about MSK
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:569
    • 提供者:al24
  1. MSK

    0下载:
  2. 该程序可以仿真MSK传输系统,对初学者有帮助。-siulater the MSK transmisstion system
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:2070
    • 提供者:Dilly Wang
  1. msk

    3下载:
  2. 调制系数等于0.5的fsk调制与解调的matlab实现,即实现msk的调制解调-Modulation index is equal to 0.5 fsk modulation and demodulation matlab implementation, namely, the achievement msk modem
  3. 所属分类:matlab

    • 发布日期:2014-04-19
    • 文件大小:16892
    • 提供者:iamsyy
  1. MSK

    1下载:
  2. MSK的调制算法和解调算法,大家有需要的可以看一看,参考一下。-MSK modulate/demodulate
  3. 所属分类:matlab

    • 发布日期:2014-04-19
    • 文件大小:1411406
    • 提供者:艳子
  1. MSK

    1下载:
  2. 在MATLAB上实现的MSK调制与解调程序。-Implemented on the MATLAB MSK modulation and demodulation process.
  3. 所属分类:matlab

    • 发布日期:2014-11-29
    • 文件大小:1806
    • 提供者:seven
  1. msk

    0下载:
  2. This an example of creating an MSK signal-This is an example of creating an MSK signal
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:585
    • 提供者:Wee
  1. msk

    1下载:
  2. msk MATLAB代码,可以实现msk调制,按IQ原理编写的-msk MATLAB code,msk modulation can be achieved,according to I,Q prepared in principle
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:1319
    • 提供者:ailsa
  1. msk

    0下载:
  2. msk一种恒包络信号,本源码用多种方案实现MSK信号的调制和解调-msk a constant envelope signal, the source with a variety of programs to achieve the modulation and demodulation of MSK
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:9213
    • 提供者:wangyu
  1. MSK

    0下载:
  2. 通信系统仿真,MSK,SYSTEMVIEW,数字通信系统的SystemView仿真与分析-Communication system simulation, MSK, SYSTEMVIEW, Digital Communication System Simulation and Analysis SystemView
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1051
    • 提供者:lingerschan
  1. QPSK-MSK-QAM-OFDM

    1下载:
  2. 完整的QPSK-MSK-QAM-OFDM调制解调程序,完整的QPSK-MSK-QAM-OFDM调制解调程序-Complete QPSK-MSK-QAM-OFDM modulation and demodulation process, a complete QPSK-MSK-QAM-OFDM modulation and demodulation process
  3. 所属分类:matlab

    • 发布日期:2016-06-05
    • 文件大小:3072
    • 提供者:
  1. MSK

    2下载:
  2. 实现了msk的调制解调,测试过的,绝对好用-Msk modulation and demodulation implemented, tested, and absolutely easy to use
  3. 所属分类:matlab

    • 发布日期:2014-11-29
    • 文件大小:2048
    • 提供者:Johnny
  1. MSK调制解调代码

    1下载:
  2. MSK(Minimum Frequency Shift Keying)是二进制连续相位FSK的一种特殊形式。MSK称为最小频移键控,所谓“最小”是指这种调制方式能以最小的调制指数(0.5)获得正交信号,本程序给出了MSK信号的调制解调过程,并有详细注释。
  3. 所属分类:matlab例程

  1. MSK程序

    0下载:
  2. MSK调制解调在加噪声的情况下的程序代码(MSK modulation and demodulation plus noise)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-23
    • 文件大小:11264
    • 提供者:洁1
  1. 直扩MSK

    1下载:
  2. 直扩MSK通信链路的仿真程序。PN码采用m序列,周期可自行改动。接收端解扩解调同时进行。亲测可用。(Simulation program of DSSS MSK communication link. PN code uses m sequence, the cycle can be changed by itself. Simultaneous demodulation and demodulation are carried out at the receiver. Pro test ava
  3. 所属分类:matlab例程

« 12 3 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com