CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 行业应用软件 搜索资源 - FPGA

搜索资源列表

  1. automatic-elevator

    0下载:
  2. 使用VHDL语言编写的基于FPGA的自动升降电梯控制器-VHDL language using FPGA-based controller of automatic elevators
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-29
    • 文件大小:196455
    • 提供者:riluonanshan
  1. niosVGA

    0下载:
  2. 所属分类:Home Personal application

    • 发布日期:2017-05-02
    • 文件大小:708214
    • 提供者:bhahn
  1. lock

    0下载:
  2. EDA课程设计报告 - 电子密码锁设计实验 密码锁密码为4位-Curriculum design EDA Report- electronic locks locks password experimental design for four
  3. 所属分类:Education soft system

    • 发布日期:2017-04-08
    • 文件大小:95662
    • 提供者:hxl
  1. reed

    0下载:
  2. this the completedocumentation and code about reed solomon logic implemented on fpga in verilog.-this is the completedocumentation and code about reed solomon logic implemented on fpga in verilog.
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1872754
    • 提供者:kamranmu
  1. UP3_clock

    0下载:
  2. 这是一个电子钟程序,采用VHDL开发,在altera的FPGA板上实现。-clock VHDL altera FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:3871
    • 提供者: 陈诚
  1. last2_stm

    0下载:
  2. The main program that describes the entire FPGA and calls entities described in the other VHDL programs.
  3. 所属分类:Applications

    • 发布日期:2017-04-03
    • 文件大小:13593
    • 提供者:kaka
  1. example18

    0下载:
  2. 基于FPGA的交通灯控制 基于FPGA的交通灯控制基于FPGA的交通灯控制-FPGA-based control of traffic lights at the traffic lights based on the FPGA to control the traffic lights on the control FPGA
  3. 所属分类:Goverment application

    • 发布日期:2017-04-03
    • 文件大小:54516
    • 提供者:zhuxiang
  1. all

    0下载:
  2. This application is about Xilinx FPGA. It suits students in the college who have little knowlege about the FPGA.
  3. 所属分类:Education soft system

    • 发布日期:2017-05-16
    • 文件大小:4535151
    • 提供者:sinong
  1. timer

    0下载:
  2. This application is about the Timer in the Xilinx FPGA. It suits students in the college who have little knowlege about the FPGA.
  3. 所属分类:Education soft system

    • 发布日期:2017-05-17
    • 文件大小:4576541
    • 提供者:sinong
  1. UARTTransmitterModel

    0下载:
  2. usart modelling in vhdl for fpga users
  3. 所属分类:Education soft system

    • 发布日期:2017-04-16
    • 文件大小:18278
    • 提供者:ali
  1. Documents

    0下载:
  2. document for blaster fpga programmer
  3. 所属分类:Energy industry

    • 发布日期:2017-05-08
    • 文件大小:2047639
    • 提供者:alis
  1. verilog

    0下载:
  2. AD0809电压采集的芯片资料关于FPGA资料的一些说明
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-04-05
    • 文件大小:6123
    • 提供者:老莫
  1. keyscan4X4

    0下载:
  2. FPGA矩阵键盘,VHDL编写,亲测可用-The FPGA matrix keyboard, VHDL prepared, pro-test available
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-14
    • 文件大小:3407
    • 提供者:chenlisheng
  1. vhdlkeyscan4X4

    0下载:
  2. FPGA矩阵键盘,VHDL编写,亲测可用-The FPGA matrix keyboard, VHDL prepared, pro-test available
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-07
    • 文件大小:3411
    • 提供者:chenlisheng
  1. 0

    0下载:
  2. FPGA控制DDSAD9854芯片程序。-The FPGA control DDSAD9854 chip program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:979526
    • 提供者:fangyangyang
  1. 1

    0下载:
  2. FPGA芯片EP2C8T144C8控制LCD1602显示程序-The FPGA chip EP2C8T144C8 control LCD1602 display program
  3. 所属分类:Other systems

    • 发布日期:2017-11-11
    • 文件大小:203346
    • 提供者:fangyangyang
  1. modulator

    1下载:
  2. 基于单芯电缆的多载波技术,FPGA,OFDM通信程序,调制解调器,调制模块-Multi-carrier technology based on the single-core cables, the FPGA, the OFDM communication program, the modem modulation module
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2016-10-02
    • 文件大小:24737792
    • 提供者:zhaolei
  1. QR

    0下载:
  2. QR分解是球形MIMO检测算法必不可少的环节,本代码采用m语言描述了QR分解分解具体怎么实现,而不是直接调用matlab内部函数,采用的是QR分解的脉动阵列结构,据此代码可轻易实现QR分解的FPGA设计-QR decomposition is an essential part of the spherical MIMO detection algorithm, the code uses QR decomposition decomposition m language to describe
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-19
    • 文件大小:2819
    • 提供者:logic
  1. digital-frequency-counter

    0下载:
  2. 基于FPGA的数字频率计,verilog hdl编写-digital frequency counter ,using verilog hdl
  3. 所属分类:Home Personal application

    • 发布日期:2017-11-26
    • 文件大小:17623
    • 提供者:毋宁
  1. three_ph_sin

    0下载:
  2. 这个文件能够使fpga产生三项正弦波。每一项角度相差180度。-This file make fpga produce the three sine wave. An angle difference of 180 degrees.
  3. 所属分类:Energy industry

    • 发布日期:2017-11-30
    • 文件大小:159744
    • 提供者:lijinyu
« 1 23 4 »
搜珍网 www.dssz.com