CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 行业应用软件 搜索资源 -

搜索资源列表

  1. 数字锁相环dll_code

    0下载:
  2. 通信系统中,信号捕获和同步的数字锁相环的MATLAB仿真程序-communications systems, signal acquisition and synchronization of digital PLL MATLAB simulation program
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:122777
    • 提供者:zlin
  1. Plant

    0下载:
  2. 这些代码是用L-system语言,L-studio编译环境来实现相关功能的。主要是在实验室中的科研需要而编写的。 -these codes is L-system language, L-studio environment to compile the relevant functions. Mainly in laboratory research needs prepared.
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:197620
    • 提供者:simple
  1. crc_verilog

    0下载:
  2. 用于计算CRC的verilog HDL源码-CRC calculation for the Verilog HDL source
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:10752
    • 提供者:刘波
  1. ZBT SRAM

    1下载:
  2. 用verilog HDL写的操作SRAM的源码-with Verilog HDL write operation SRAM FOSS
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:6435
    • 提供者:刘波
  1. manchester

    1下载:
  2. 用verilog HDL实现曼彻斯特编码的源码-with Manchester Verilog HDL source code
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:4131
    • 提供者:刘波
  1. digtalclk

    1下载:
  2. 用Altera公司的QuartusII编写的电子钟程序,可以下载至开发板,实现一个智能数字钟功能,计时,校时,闹钟,跑表等功能,也可用于学习verilog HDL语言与数字逻辑
  3. 所属分类:家庭/个人应用

    • 发布日期:2014-01-17
    • 文件大小:2093715
    • 提供者:张欢
  1. RS encoder(Verilog)

    2下载:
  2. RS编码的源代码使用Verilog在Xinloinx平台-RS coding using the source code in Verilog Xinloinx platform
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:5100
    • 提供者:王锋
  1. mt48lc4m16a2

    0下载:
  2. SDRAM器件mt48lc4m16.v源码,是一个功能的verilog代码。
  3. 所属分类:金融证券系统

    • 发布日期:2008-10-13
    • 文件大小:6607
    • 提供者:zhouni
  1. mydds

    0下载:
  2. 数字示波器 dds...编的还不错哦。。。可以实现三种波形,而且都是用rom表-Series of digital oscilloscopes dds ... still pretty good. . . Three waveforms can be achieved, and all the table with the rom
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-05-26
    • 文件大小:9423872
    • 提供者:魏颖
  1. Asynchronous_slavefifo_wr.rar

    0下载:
  2. usb-cy7c68013异步写传输代码verilog,usb-cy7c68013 asynchronous transfer write verilog code
  3. 所属分类:Applications

    • 发布日期:2017-04-01
    • 文件大小:1640
    • 提供者:罗玉明
  1. FT3

    0下载:
  2. 此设计主要用于FT3发送编码,符合IEEE60044-8协议-This design is mainly used for FT3 to send coded in line with IEEE60044-8 agreement
  3. 所属分类:Energy industry

    • 发布日期:2016-01-25
    • 文件大小:8192
    • 提供者:
  1. cpu

    0下载:
  2. 简单的cup程序,帮助初学者学习cpu工作流程,含有仿真波形-Cup simple procedures to help beginners learn cpu workflow, containing simulated waveform
  3. 所属分类:OA

    • 发布日期:2017-04-02
    • 文件大小:153522
    • 提供者:QWE123
  1. elevator

    0下载:
  2. elevaters used in transportation
  3. 所属分类:transportation applications

    • 发布日期:2017-04-28
    • 文件大小:83146
    • 提供者:nattu
  1. neted.tar

    0下载:
  2. 一个用TCL/TK写的用于verilog的集成编辑环境.-netedit The purpose of this tool is creation of tcl/tk- based environment for convenient Verilog netlist viewing and editing. This tool will allow development of TCL scr ipts in order to make structural changes in verilog
  3. 所属分类:Applications

    • 发布日期:2017-04-24
    • 文件大小:402808
    • 提供者:john.fang
  1. tb_cordic

    0下载:
  2. cordic algorithm in verilog
  3. 所属分类:Applications

    • 发布日期:2017-04-14
    • 文件大小:2933
    • 提供者:adeel
  1. traffic

    0下载:
  2. 交通控制灯,状态机的方式现实,分为AB2个路口-Traffic control lights, realistic way state machine is divided into junctions AB2
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-04-24
    • 文件大小:320292
    • 提供者:qian
  1. led

    0下载:
  2. LED Displayer是由4组7段显示器所组成,七段显示器的每一段LED相互 接 在一起,另外还各有一支common pin 接至其中一组七段显示器。
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-04-17
    • 文件大小:163558
    • 提供者:qiqi
  1. lcd_my

    0下载:
  2. 用于驱动12864lcd的Verilog语言-The Verilog language used to drive 12864lcd
  3. 所属分类:Goverment application

    • 发布日期:2017-04-10
    • 文件大小:1621517
    • 提供者:luoxs
  1. fft_test

    0下载:
  2. sram controler is for you
  3. 所属分类:Applications

    • 发布日期:2017-06-03
    • 文件大小:15562626
    • 提供者:chenjunrui
  1. sigmadelta_verilog_code

    0下载:
  2. sigma delta verilog code and testbench for you to do simulation
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:910
    • 提供者:zhhy3818
« 12 3 4 5 »
搜珍网 www.dssz.com