CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - cpu vhdl

搜索资源列表

  1. vhdl实例-完整微处理器系统模型

    0下载:
  2. vhdl实例-完整微处理器(cpu)系统模型 -VHDL-integrity microprocessor (CPU) system model
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1855
    • 提供者:张洪
  1. CPU_use

    0下载:
  2. 使用VHDL语言编写的简单8位流水线CPU 它有六级流水功能,通过仿真 可以下载到实验箱,也有波形仿真-use VHDL to prepare a simple eight pipelined CPU it has six functional water, Simulation experiments can be downloaded to the box, a waveform simulation
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1531447
    • 提供者:邮件
  1. POC

    0下载:
  2. 基于VHDL的POC接口控制器,用于CPU与打印机间的数据控制-based on the POC VHDL interface controller, CPU and printer for the data control
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:84605
    • 提供者:marscr
  1. cpu_intf

    0下载:
  2. cpu的VHDL的源代码,功能的简单实现-cpu VHDL source code, the function of a simple realization
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1388
    • 提供者:陈娟娟
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. cpu

    0下载:
  2. vhdl编的cpu,自己的课程验收实验,微指令实现,流程详细。存储,加减基本运算均有,乘法使用位移相加法得到。其中excel有微程序控制信号的编码,储存ram编写,控制器rom编写等-vhdl code of cpu, its acceptance test program, microcode implementation process in detail. Storage, addition and subtraction are the basic operations, multipl
  3. 所属分类:assembly language

    • 发布日期:2017-05-09
    • 文件大小:2267396
    • 提供者:林云龙
  1. XiaYuWen_8_RISC_CPU

    2下载:
  2. 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的negedge clk1来触发各个module也是不太好的,会使时序恶
  3. 所属分类:source in ebook

    • 发布日期:2015-04-10
    • 文件大小:86714
    • 提供者:刘志伟
  1. niostest

    0下载:
  2. SOPC Builder创建的CPU,能够满足简单的VHDL软件仿真-SOPC Builder to create the CPU, to meet the simple VHDL software simulation
  3. 所属分类:书籍源码

    • 发布日期:2017-11-09
    • 文件大小:4346880
    • 提供者:roger
  1. vgachr8080

    0下载:
  2. code guide to design cpu -code guide to design cpu 8080
  3. 所属分类:source in ebook

    • 发布日期:2017-04-27
    • 文件大小:16216
    • 提供者:minh
  1. dianhuanyuanchengkongzhi

    0下载:
  2. 电话智能遥控器主要包括电话振铃检测电路,电话自动摘机和挂机电路,DTMF信号解码电路,语音提示急电路,音频放大电路,以及控制心脏CPU电路-Telephone remote control including smart phones ringing detection circuit, telephone and hang up automatically pick circuit, DTMF signal decoding circuit, urgent voice circuits, au
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:7515
    • 提供者:卿卿
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. cpu-poc

    0下载:
  2. 满足并行输出输入的功能,同时与打印机相连,程序中又添加了微处理器的程序。-To meet the parallel input-output function, while with the printer connected to the program has added a microprocessor program.
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1736777
    • 提供者:商客
  1. course-design-cpu-poc

    0下载:
  2. 满足并行输出输入的功能,同时与打印机相连,程序中又添加了微处理器的程序。-To meet the parallel input-output function, while with the printer connected to the program has added a microprocessor program.
  3. 所属分类:assembly language

    • 发布日期:2017-05-07
    • 文件大小:1760081
    • 提供者:商客
  1. CPUcoa-course-design

    0下载:
  2. 简单的cpu设计,包括memory,alu运算器,comp比较器以及控制器control,寄存器register等的vhdl编写的程序。-A simple cpu design, including memory, alu arithmetic logic unit, comp comparator and controller control, such as vhdl register register write programs.
  3. 所属分类:assembly language

    • 发布日期:2017-06-22
    • 文件大小:42183409
    • 提供者:商客
  1. 5744114893829

    0下载:
  2. 用VHDL实现16位的简单CPU。具有加减乘除等功能-vhdl cpu can do add sub and so on
  3. 所属分类:assembly language

    • 发布日期:2017-05-10
    • 文件大小:2227551
    • 提供者:罗小家
  1. cpu-leon3-altera-ep1c20

    0下载:
  2. CPU性能仿真测试软件,对于VHDL设计的芯片可以做新能测试-CPU VHDL
  3. 所属分类:OS Develop

    • 发布日期:2017-11-08
    • 文件大小:687197
    • 提供者:mackalli
  1. CPU-VHDL

    0下载:
  2. cpu pipeline processing
  3. 所属分类:source in ebook

    • 发布日期:2017-05-09
    • 文件大小:1544101
    • 提供者:Kenny
  1. RISC-CPU-

    0下载:
  2. 用VHDL语言实现32位CPU的各种运算功能,熟悉32位CPU各模块的工作原理,熟悉流水线数据通路和控制单元的工作原理从而熟悉CPU的工作机理。-Mac circuit realization
  3. 所属分类:OS Develop

    • 发布日期:2017-05-29
    • 文件大小:11710264
    • 提供者:卓丽媛
  1. eetop.cn_RISC32 VHDL

    0下载:
  2. 根据vhdl设计的32位CPU具备加减 读写等标准功能(a 32-bit cpu based on VHDL designed with function of fundamental function of subtraction , addition, load and store .)
  3. 所属分类:汇编语言

    • 发布日期:2017-12-18
    • 文件大小:19456
    • 提供者:kankanla1
  1. cpu_VHDL

    0下载:
  2. vhdl 编写的cpu 代码, 详细说明了各个部分的功能及所有对应的代码,对cpu架构的学习和vhdl 编程有很大帮助(vhdl code for simple CPU)
  3. 所属分类:书籍源码

    • 发布日期:2018-01-02
    • 文件大小:1000448
    • 提供者:bigcat1977
« 12 »
搜珍网 www.dssz.com