CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - dds verilog

搜索资源列表

  1. AD9910Driver

    0下载:
  2. DDS之AD9910驱动源码,控制器ARM ADuc7026.-DDS source of the AD9910 driver, controller ARM ADuc7026.
  3. 所属分类:source in ebook

    • 发布日期:2017-03-31
    • 文件大小:139366
    • 提供者:彭梁栋
  1. module-counter8

    0下载:
  2. 用verilog实现8为计数器频率范围20-80kHz,根据DDS原理来一个时钟计数器记一下,n=n+1,根据公式fout=(fc÷x)÷2,fout=80 fc=320,所以n≥2时,再取反,又由公式 fout=(k.fc)÷2^n,k=50hz,fout=80khz,fc=320,所以数据的位宽n≥7。 设计要求两路方波信号的相位差在0-360゜可调,可以根据延时来实现。具体的-8 is realized with verilog counter frequency range 20-8
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:24576
    • 提供者:倪飞
  1. vftvdr

    0下载:
  2. 基于FPGA的DDS信号发生器设计,包含Quartus 的工程,打开即可使用,Verilog 语言编写!-The DDS signal generator based on FPGA design, including the Quartus project, open to use, Verilog language! 朗读 显示对应的拉丁字符的拼音 字典- 查看字典详细内容-FPGA design, including the Quartus project, open to use, Ve
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:282602
    • 提供者:熊健友
  1. dds_rom

    0下载:
  2. 基于查找表的DDS的Verilog实现,分为相位累加器模块、ROM模块和顶层DDS模块(Verilog implementation of DDS based on lookup table)
  3. 所属分类:汇编语言

    • 发布日期:2018-05-01
    • 文件大小:3072
    • 提供者:呱啤教教主
搜珍网 www.dssz.com