CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - 2.2

搜索资源列表

  1. Emlog2.2.0

    0下载:
  2. Emlog2.2.0 功能介绍 + 采用了模版技术,能让网站在瞬间改变样式 + Emlog附带模版(如果你不喜欢附带模板,可自已制作模片) + 多附件上传功能,每篇日志可以附加多个附件,并可以删除增加附件 + 支持引用通告(trackback)接受发送和管理 + 支持tag标签分类功能 + 支持RSS日志订阅功能 + 数据库备份/恢复功能 + 访问量统计 + 采用文本缓冲技术,减少查询
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:336553
    • 提供者:jing
  1. Smarty-2.6.19

    0下载:
  2. Smarty-2.6.19.zip 方便大家下载
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:200477
    • 提供者:hunan
  1. 成长体系v1.5.2.3208-GBK.rar

    0下载:
  2. 成长体系v1.5.2.3208-GBK.rar
  3. 所属分类:汇编语言

  1. 实现2进制到10进制,16进制和8进制的转化

    0下载:
  2. 实现2进制到10进制,16进制和8进制的转化。
  3. 所属分类:汇编语言

    • 发布日期:2009-01-11
    • 文件大小:1288
    • 提供者:thea_ma
  1. 2-D Filter Reference Design 2d_5x5_filter

    0下载:
  2. 2-D Filter Reference Design 2d_5x5_filter
  3. 所属分类:汇编语言

  1. nasm-2.09.10

    0下载:
  2. NASM 纯汇编打造简单中文操作系统,32位保护模式下的。
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1189941
    • 提供者:pp
  1. WOWEMU-ASCENT-2.4.3

    0下载:
  2. WOW 服务模拟端 支持2.4.3版本 来自开源的ASCENT 自己REPACK-WOW simulated client support services from the open source 2.4.3 version of ASCENT own repack
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1759861
    • 提供者:soi
  1. T8255-2

    0下载:
  2. T8255-2.asm 8255流水灯显示实验-T8255-2.asm 8255 light water shows that the experiment
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1090
    • 提供者:fangcaohu
  1. MC145151-2

    0下载:
  2. 基于单片机的锁相频率合成器的设计:MC145151-2芯片-Based on single-chip phase-locked frequency synthesizer design: MC145151-2 chip
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:11296
    • 提供者:张三
  1. 2

    0下载:
  2. 2. 用8251A实现串行口异步通信,自发自收,波特率1200bps。采用查询方式发送、中断方式接收。从键盘输入字符串(字符个数≤255)存入发送缓冲区并显示,启动发送键由实验者自己定义,自收结果显示于屏幕。按Esc返回DOS。(定义回车键为启动发送键)-2. With the 8251A Serial port asynchronous communication, spontaneous self-income, the baud rate 1200bps. Using query mode
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2202
    • 提供者:Rachel
  1. 2.4G

    0下载:
  2. 基于24L01的无线发射程序,使用方便。应用广泛-24L01-based wireless launch program, easy to use. Widely
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:2101
    • 提供者:好好
  1. shiyan2.2

    0下载:
  2. IBM-PC汇编程序设计技术 实验教程 实验2.2的源代码 自己写的,跟晚上常见的那些代码都不一样 注释也很清晰 很容易看懂-IBM-PC assembly programming tutorial experiment Experiment 2.2 source code had written that night, those codes are not the same common comment is also very clear and easy to under
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:4721
    • 提供者:soasme
  1. S11-2--square-wave

    0下载:
  2. s11—2S11-2利用NOP指令产生精确方波 汇编 -S11-2 s11-2 use the NOP instructions of generating accurate square wave assembly assembly
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:6095
    • 提供者:caoyiguo
  1. 2

    2下载:
  2. 1. 设有8bits符号数X存于外部RAM单元,按以下方式计算后的结果Y也存于外部RAM单元,请按要求编写程序。 2. 利用51系列单片机设计一个24小时制电子时钟,电子时钟的时、分、秒数值分别通过P0、P1、P2端口输出(以压缩BCD码的形式)。P3.0为低电平时开始计时,为高电平时停止计时。 -(1) has a number of 8bits symbol X is stored in external RAM unit, calculated as follows the
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:146776
    • 提供者:大田
  1. 2.2

    0下载:
  2. 2.2 有n个整数,使其前面各数顺序向后移m个位置,最后m个数变成最前面m个数。写一函数实现以上功能,在主函数中输入n个整数,并输出调整后n个数。-2.2 n integers, make it the previous number of the order of backward m position last m the number into the top of m number. Write a function to achieve the above functions, ent
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:9199
    • 提供者:苏亚磊
  1. ALIENTEK-MINISTM32--2-

    0下载:
  2. ALIENTEK MINISTM32 实验2 按键输入,用单片机来实现简单的按键输入。-The experiment 2 key input, ALIENTEK MINISTM32 single chip to achieve a simple button input.
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:20464
    • 提供者:周星驰
  1. VIP1.2

    0下载:
  2. VIP1.2官方提供完整源代码,只供爱好者研究使用,不要用在非法用途,否则后果自负。-VIP1.2 official full source code
  3. 所属分类:assembly language

    • 发布日期:2017-11-18
    • 文件大小:4324397
    • 提供者:liu
  1. log4j-1.2.16_101

    0下载:
  2. Apache log4j 1.2.17 is distributed under the Apache License, version 2.
  3. 所属分类:assembly language

    • 发布日期:2017-11-01
    • 文件大小:481534
    • 提供者:wout
  1. 2.5-GHz-Frequency-counter

    0下载:
  2. 2.5 GHz frequency conter 16f
  3. 所属分类:assembly language

    • 发布日期:2017-11-19
    • 文件大小:2037386
    • 提供者:bil
  1. 2.4-G-program

    0下载:
  2. 2.4G无线收发模块示例程序 可以尽情的了解该无线模块的工作-2.4 G wireless transceiver module sample program
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2037
    • 提供者:marlbolee
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com